$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Abstract AI-Helper 아이콘AI-Helper

In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property....

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 90% 이상의 고투과도 펠리클 박막을 확보하기 위해서 EUV 파장에 대해 낮은 소광계수(extinction coefficient)를 갖는 silicon을 사용하는 방법이 제시되고 있지만, 펠리클의 또 다른 필요조건인 기계적 안정성을 만족시키지 못하는 문제점이 발생한다. 따라서, 본 연구에서는 고투과도와 기계적 안정성을 만족시키는 펠리클을 제작하기 위하여, 물질 특성상 우수한 기계적 특성을 갖는 SiNx를 사용하여 고투과도 펠리클 박막을 제작하는 방법에 대해 연구하였다[9].
  • 본 연구에서 EUV lithography용 펠리클 제작 공정 중 silicon 웨이퍼의 긴 식각 공정 시간으로 인한 notching effect의 심화로 펠리클이 파괴되는 문제를 해결하기 위해 HF 습식 식각 공정을 활용하여 고투과도 SiNx pellicle을 제작하는 공정을 제시하였다. 상대적으로 두꺼운 SiNx 펠리클을 안정적으로 확보한 후 목표 두께까지 SiNx thinning을 통하여 고투과도 SiNx pellicle을 제작하는 공정을 확보하는데 성공하였다.
  • 본 연구에서 HF thinning공정으로 제작된 SiNx 펠리클의 투과도 측정 결과를 통하여 고투과도 SiNx 펠리클 제작 가능성을 확인하였다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
노광 기술은 어떤 방향으로 발전해왔는가? 노광 기술은 소자의 집적도를 향상시키기 위하여 사용되는 광원의 파장을 줄여 미세한 패턴 형성을 구현하는 방향으로 발전해왔다. 13.
고투과도 SiNx 펠리클 박막생성을 위한 공정 진행 시 생기는 문제점은? 고투과도 SiNx 펠리클 박막을 제작하는 방법은 수십 나노미터 목표 두께의 SiNx를 Si 웨이퍼에 증착 한 후, KOH 수용액을 이용한 Si식각 공정으로 진행된다. 이 공정은 525 µm 두께의 Si 웨이퍼 기준으로 KOH 수용액에서 약 9시간 진행하기 때문에 식각면 코너 또는 가장자리 부분에 박막 응력이 집중되는 notching effect(새김눈 효과)가 심화되고, 결국 박막이 파괴되는 문제점을 야기시킨다[10]. 이로 인해 고투과도를 만족하는 SiNx 펠리클을 제작하기 위해 한 단계의 KOH 습식 공정만을 활용하기에는 문제가 있다.
EUV lithography가 해결해야할 과제는? EUV는 공기를 포함한 대부분의 물질에서 흡수가 잘 일어나는 특성으로 인해, EUV lithography는 기존의 투과형 광학계가 아닌 반사형 광학계로 구성되며 이와 더불어 고진공 환경을 필요로 하기 때문에 전 영역에 걸쳐 개발이 이루어져야 한다. 현재 EUV lithography가 양산에 적용되기 위해 해결해야 할 여러 과제들 중 하나는 공정 중 발생하는 오염물질 인한 문제이다. 노광 공정 중 발생하는 오염물질은 마스크 오염을 일으키고 이는 웨이퍼에 전사되는 패턴의 오류로 이어져 수율(yield) 감소를 초래하기 때문에 해당 문제를 해결 하기 위해 펠리클(pellicle)에 대한 연구가 진행되고 있다[3-6].
질의응답 정보가 도움이 되었나요?

참고문헌 (16)

  1. N. Okai, E. Lavigne, K. Hitomi, S. Halle, S. Hotta, S. Koshihara, J. Tanaka, and T. Bailey, "Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography," Proc. of SPIE, Vol. 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 94240H, 2015. 

  2. B. Wu and A. Kumar, "Extreme ultraviolet lithography : A review," J. Vac. Sci. Technol. B, Vol. 25, No. 6, pp. 1743-1761, 2007. 

  3. C. Zoldesi, K. Bal, B. Blum, G. Bock, D. Brouns, F. Dhalluin, N. Dziomkina, J. Diego, A. Espinoza, J. de Hoogh, S. Houweling, M. Jansen, M. Kamali, A. Kempa, R. Kox, R. de Kruif, J. Lima, Y. Liu, H. Meijer, H. Meiling, I. van Mil, M. Reijnen, L. Scaccabarozzi, D. Smith, B. Verbrugge, L. de Winter, X. Xiong, and J. Zimmerman. "Progress on EUV pellicle development," Proc. of SPIE, Vol. 9048, Extreme Ultraviolet (EUV) Lithography V, 90481N, 2014. 

  4. L. Scaccabarozzi, D. Smith, P. Rizo Diago, E. Casimiri, N. Dziomkina, and H. Meijer, "Investigation of EUV pellicle feasibility," Proc. of SPIE, Vol. 8679, Extreme Ultraviolet (EUV) Lithography IV, 867904, 2013. 

  5. Y. A. Shroff, M. Leeson, and P. Yan, "High transmission pellicles for extreme ultraviolet lithography reticle protection," J. Vac. Sci. Technol. B, Vol. 28, No. 6, pp. C6E36-C6E41, 2010. 

  6. Y. A. Shroff, M. Goldstein, B. Rice, S. H. Lee, K. V. Ravi, and D. Tanzil, "EUV Pellicle Development for Mask Defect Control," Proc. of SPIE, Vol. 6151, Emerging Lithographic Technologies X, 615104, 2006. 

  7. I. Skurai, T. Shirasaki, M. Kashida, and Y.Kubota, "Pellicle for ArF excimer laser photolithography," Photomask and X-ray Mask Technology 4, Proc. of SPIE, Vol. 3748, pp. 177-187, 1999. 

  8. H. Lee, E. Kim, J. Kim, and H. OH, "Temperature Behavior of Pellicles in Extreme Ultraviolet Lithography," J. Korean Phys. Soc., Vol. 61, No. 7, pp. 1093-1096, 2012. 

  9. F. L. Riley, "Silicon Nitride and Related Materials," J. Am. Ceram. Soc., Vol. 83, No. 2, pp 245-265, 2000. 

  10. G. Findler, J. Muchow, M. Koch, and H. Munzel, "Temporal evolution of silicon surface roughness during anisotropic etching processes," Proc. of Micro Electro Mechanical System '92 pp.62-66, 1992. 

  11. The Center for X-ray Optics, Retrieved July, 2015, from http://www.cxro.lbl.gov 

  12. KLA-Tencor, Retrieved July, 2015, from http://www.kla-tencor.com 

  13. K. R. Williams, K. Gupta, and M. Wasilik, "Etch Rates for Micromachining Processing - Part 2," J. Microelectromech. S., Vol. 12, No. 6, pp. 761-778, 2003. 

  14. A. Witvrouw, B. Du Bois, P. De Moor, A. Verbist, C. Van Hoof, H. Bender, and K. Baert, "A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal," Proc. of SPIE, Vol. 4174, pp.130-141, 2000. 

  15. D. M. Knotter and T.J.J. Denteneer, "Etching Mechanism of Silicon Nitride in HF-Based Solutions," J. Electrochem. Soc., Vol. 148, No. 3, pp. 43-46, 2001. 

  16. J. U. Lee, S. Hong, J. Ahn, J. Doh, and S. Jeong., "Actinic critical dimension measurement of contaminated extreme ultraviolet mask using coherent scattering microscopy," J. Vac. Sci. Technol. B, Vol. 32, No. 3, 031601, 2014. 

저자의 다른 논문 :

LOADING...
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트