$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Modular processing apparatus for processing semiconductor wafers 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-014/00
출원번호 US-0865251 (1986-05-19)
발명자 / 주소
  • Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY)
출원인 / 주소
  • Machine Technology, Inc. (Parsippany NJ 02)
인용정보 피인용 횟수 : 143  인용 특허 : 12

초록

A self-contained modular processing apparatus is disclosed for processing workpieces, and in particular, silicon wafers. The apparatus is constructed of framed modules which plug into a service facility docking subassembly and interlock therewith to make up a complete modular processing system for w

대표청구항

A modular processing apparatus comprising a chassis having a pair of diagonal axes, a module attached to said chassis for performing at least one operation on a workpiece to be processed, transfer means attached to said chassis for transferring said workpiece from said module to a location remote th

이 특허에 인용된 특허 (12)

  1. Bok Edward (Burg. Amersfoordtlaan 82 1171 DR Badhoevedorp NLX), Apparatus for floating transport and processing of substrate or tape.
  2. Gallego JosM. (Ormskirk GB2), Apparatus for the deposition of multi-layer coatings.
  3. Hillman Gary (Livingston NJ) Devico Michael J. (Chatham NJ), Automated single cassette load mechanism for scrubber.
  4. Nakane Hisashi (Kawasaki JPX) Uehara Akira (Yokohama JPX) Miyazaki Shigekazu (Sagamihara JPX) Kiyota Hiroyuki (Hiratsuka JPX) Hijikata Isamu (Tokyo JPX), Automatic apparatus for continuous treatment of leaf materials with gas plasma.
  5. Jacoby Hans-Dieter (Werdorf DEX) Schmidt Peter (Huettenberg DEX), Device for automatically transporting disk shaped objects.
  6. Boys Donald R. (Cupertino CA) Graves Walter E. (San Jose CA), Disk or wafer handling and coating system.
  7. Bouchaib Pierre (L\Etang la Ville FRX), Installation for treatment of materials for the production of semi-conductors.
  8. Babbitt Albert B. (Pontiac MI), Loader.
  9. Koch George R. (Los Altos CA) Petersen ; III Carl T. (Fremont CA), Modular loadlock.
  10. Walter Heinz (Hanau DEX), Vacuum coating apparatus with continuous or intermittent transport means.
  11. Burkhalter David W. (Redwood City CA) Kain Maurits R. (Redwood City CA), Wafer handling mechanism.
  12. Purser, Kenneth H., Wafer holding apparatus for ion implantation.

이 특허를 인용한 특허 (143)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  3. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  4. Hillman Gary (Livingston NJ) Rubin Richard H. (Fairfield NJ) Paulfus Bernard H. (West Milford NJ), Apparatus and method for the fluid treatment of a workpiece.
  5. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  6. George Gregory ; Peery Tim ; Consentino Timothy ; Kuhnle Michael ; Wright Seth ; Ziegler James, Automatic modular wafer substrate handling device.
  7. van der Meulen, Peter, Batch wafer alignment.
  8. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  9. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  10. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  11. Aruga Yoshiki,JPX ; Kamikura Yo,JPX, Compact in-line film deposition system.
  12. Beloussov, Alexandre V.; Baumann, Michael A.; Olsen, Howard B.; Salem, Dana, Configuration management and retrieval system for proton beam therapy system.
  13. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  14. Kirkpatrick Thomas I. ; Otwell Robert L., Cost effective modular-linear wafer processing.
  15. Kirkpatrick Thomas I. ; Otwell Robert L., Cost effective modular-linear wafer processing.
  16. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  17. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  18. Yednak, III, Andrew M.; Dunn, Todd; White, Carl; Manasco, Michael, Deposition valve assembly and method of heating the same.
  19. de Boer Jacob,NLX ; Hamming Martin,NLX ; Goudsmits Petrus J. M.,NLX, Device for processing a disc-shaped substrate as well as a station suitable for being coupled to such a device.
  20. Nishimura Hironobu,JPX ; Naito Yoshihiko,JPX ; Mizutani Naozumi,JPX ; Kotoyori Masahiko,JPX ; Yamaguchi Katumi,JPX, Disk coating system.
  21. Hughes John L. (Rodeo CA) Shula Thomas E. (Palo Alto CA) Rodriguez Carlos E. (Redwood City CA), Dual track handling and processing system.
  22. Colvin James Barry, Elimination of vibration by vibration coupling in microscopy applications.
  23. Hattendorf,Guido; R��dling,Gert; Rist,Gerhard, Energy and media connection for a coating installation comprising several chambers.
  24. Kailasam, Sridhar Karthik; Friedman, Robin; Pradhan, Anshu A.; Rozbicki, Robert T., Fabrication of low defectivity electrochromic devices.
  25. Kailasam, Sridhar; Friedman, Robin; Pradhan, Anshu; Rozbicki, Robert T., Fabrication of low defectivity electrochromic devices.
  26. Kozlowski, Mark; Kurman, Eric; Wang, Zhongchun; Scobey, Mike; Dixon, Jeremy; Pradhan, Anshu; Rozbicki, Robert, Fabrication of low defectivity electrochromic devices.
  27. Kozlowski, Mark; Kurman, Eric; Wang, Zhongchun; Scobey, Mike; Dixon, Jeremy; Pradhan, Anshu; Rozbicki, Robert, Fabrication of low defectivity electrochromic devices.
  28. Lappen,Alan Rick; Schauer,Ronald V., Facilities connection box for pre-facilitation of wafer fabrication equipment.
  29. Schauer,Ronald Vern, Facilities connection bucket for pre-facilitation of wafer fabrication equipment.
  30. Kuriyama Kazumi (Yamanashi JPX) Takasu Yutaka (Yamanashi JPX) Kono Shigeru (Yamanashi JPX) Koshio Chiharu (Yamanashi JPX) Nagata Kazuhiko (Yamanashi JPX), Flow processing system.
  31. Kuriyama Kazumi (Yamanashi JPX) Takasu Yutaka (Yamanashi JPX) Kono Shigeru (Yamanashi JPX) Koshio Chiharu (Yamanashi JPX) Nagata Kazuhiko (Yamanashi JPX), Flow processing system.
  32. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  33. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  34. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  35. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  36. Colvin James Barry, Integrated circuit failure analysis using color voltage contrast.
  37. Wirth, Paul; Woodruff, Daniel J., Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces.
  38. Woodruff,Daniel J.; Davis,Jeffry Alan; Harris,Randy A.; Mattson,David P.; Erickson,James J.; Egloff,Matthew C., Integrated tool with interchangeable wet processing components for processing microfeature workpieces and automated calibration systems.
  39. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  40. Bernardet Henri (Saint Michel sur Orge FRX) Thiebaut Chantal (Villiers sur Marne FRX), Metal ion implantation apparatus.
  41. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  42. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  43. Petropoulos Mark (Ontario NY) Hammond John M. (Ontario NY) Berger Stuart B. (Rochester NY), Method and apparatus for cleaning, coating and curing receptor substrates in an enclosed planetary array.
  44. Pore, Viljami, Method and apparatus for filling a gap.
  45. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  46. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  47. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  48. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  49. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  50. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  51. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  52. Kang, DongSeok, Method for depositing thin film.
  53. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  54. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  55. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  56. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  57. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  58. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  59. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  60. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  61. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  62. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  63. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  64. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  65. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  66. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  67. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  68. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  69. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  70. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  71. Aruga Yoshiki,JPX ; Maeda Koji,JPX, Method of removing accumulated films from the surface of substrate holders in film deposition apparatus, and film deposition apparatus.
  72. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  73. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  74. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  75. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  76. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  77. Swain Eugene A. (Webster NY), Modular apparatus for cleaning, coating and curing photoreceptors in a dual planetary array.
  78. Swain Eugene A. (Webster NY) Vo Thong (Webster NY), Modular apparatus for cleaning, coating and curing photoreceptors in an enclosed planetary array.
  79. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  80. Lauro Michael P. (Lincoln RI) Beaulieu Roland (Tiverton RI) Crissman Everett E. (Woonsocket RI) Loferski Joseph J. (Providence RI) Case Christopher (New Providence NJ), Modular sputtering apparatus.
  81. Michael Abraham DE; Ivo J. M. M. Raaijmakers NL; Alain Gaudon FR; Pierre Astegno FR, Modular substrate measurement system.
  82. Winkler, Jereld Lee; Shero, Eric James; Alokozai, Fred, Multi-step method and apparatus for etching compounds containing a metal.
  83. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  84. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  85. Milligan, Robert Brennan; Alokozai, Fred, Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same.
  86. Colvin James Barry, Portable emission microscope workstation for failure analysis.
  87. Hung Cheng-Chang,TWX ; Wang Horng-Jong,TWX ; Su Yu-Cheng,TWX, Pre-installation of pumping line for efficient fab expansion.
  88. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  89. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  90. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  91. Terada Akihiro (Tokyo JPX), Probe system.
  92. Yasar Tugrul ; Reiss Ira ; Gupta Subhadra ; Krishnaswamy Rajendrapura Seetharamaiya ; Wagner Israel, Process equipment with simultaneous or sequential deposition and etching capabilities.
  93. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  94. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  95. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  96. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  97. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  98. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  99. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  100. Shero, Eric; Halpin, Michael; Winkler, Jerry, Radiation shielding for a substrate holder.
  101. Zhu, Chiyu, Selective film deposition method to form air gaps.
  102. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  103. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  104. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process module.
  105. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process modules.
  106. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process modules.
  107. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process modules.
  108. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process modules.
  109. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D., Semiconductor manufacturing process modules.
  110. van der Meulen, Peter, Semiconductor manufacturing systems.
  111. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  112. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  113. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  114. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  115. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  116. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  117. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  118. van der Meulen, Peter; Kiley, Christopher C.; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling transport.
  119. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  120. Hasper,Albert; Nooten,Sebastiaan Eliza; Hendriks,Menso, Sorting/storage device for wafers and method for handling thereof.
  121. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  122. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  123. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  124. Hirose Osamu,JPX, Substrate processing apparatus.
  125. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  126. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  127. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  128. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  129. Twelves, Jr., Wendell V.; Norris, James W.; Raver, Bernard J., System and method for an integrated additive manufacturing cell for complex components.
  130. Twelves, Jr., Wendell V.; Norris, James W.; Raver, Bernard J., System and method for an integrated additive manufacturing cell for complex components.
  131. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  132. Robinson Merrill Gene ; DeWald ; Jr. Arthur Bruce ; Remus Ronald Lee ; Frederick Kenneth Henry ; Napolitano Philip Anthony ; Wegerif Daniel Garnett ; Venzon Angelo, System and method for vacuum coating of articles having precise and reproducible positioning of articles.
  133. Kimura Yoshio (Kumamoto-ken JPX), System for applying process liquid.
  134. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  135. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  136. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  137. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  138. Strong, Fabian; Bhatnagar, Yashraj; Dixit, Abhishek Anant; Martin, Todd; Rozbicki, Robert T., Thin-film devices and fabrication.
  139. Fukasawa Yoshio (Kofu JPX) Hosoda Shozo (Yamanashi-ken JPX) Nakagome Tatsuya (Yamanashi-ken JPX) Tozawa Takashi (Yamanashi-ken JPX) Suzuki Koji (Yamanashi-ken JPX) Ishihara Yasumasa (Kofu JPX) Aoyagi, Vacuum process apparatus and vacuum processing method.
  140. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  141. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  142. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
  143. Hillman Gary, Wafer handling method and apparatus.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로