$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Gaseous process and apparatus for removing films from substrates

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B44C-001/22
  • C03C-015/00
  • C03C-025/06
출원번호 US-0048952 (1987-05-12)
발명자 / 주소
  • Blackwood Robert S. (Lubbock TX) Biggerstaff Rex L. (Lubbock TX) Clements L. Davis (Lincoln NE) Cleavelin C. Rinn (Lubbock TX)
출원인 / 주소
  • FSI Corporation (Chaska MN 02) Texas Instruments Incorporated (Dallas TX 02)
인용정보 피인용 횟수 : 336  인용 특허 : 0

초록

A process for removing at least a portion of a film from a substrate, such as a wafer of silicon or other similar materials, the film on the substrate typically being an oxide film, maintaining the atmosphere embracing the substrate at near room temperature and at near normal atmospheric pressure, f

대표청구항

A gaseous process of removing and vaporizing at least a portion of a film from a substrate, comprising exposing the substrate to an anhydrous reactive gas in the presence of water vapor.

이 특허를 인용한 특허 (336)

  1. Rose Peter H. ; Sferlazzo Piero ; van der Heide Robert G., Aerosol surface processing.
  2. Rose Peter H. ; Sferlazzo Piero ; van der Heide Robert G., Aerosol surface processing.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. Patel,Satyadev R.; Schaadt,Gregory P.; MacDonald,Douglas B.; MacDonald,Niles K.; Shi,Hongqin, Apparatus and method for detecting an endpoint in a vapor phase etch.
  10. Patel, Satyadev R.; Schaadt, Gregory P.; MacDonald, Douglas B.; MacDonald, Niles K., Apparatus and method for flow of process gas in an ultra-clean environment.
  11. Satoh Kiyoshi,JPX, Apparatus and method for forming thin film.
  12. Bergman, Eric J.; Hess, Mignon P., Apparatus and method for processing the surface of a workpiece with ozone.
  13. Mori Kozo,JPX, Apparatus and method of removing unnecessary matter and coating process using such method.
  14. Yokomizo,Kenji, Apparatus and method of securing a workpiece during high-pressure processing.
  15. Fujimura Shuzo,JPX ; Shinagawa Keisuke,JPX ; Abe Naomichi,JPX, Apparatus for removing organic resist from semiconductor.
  16. Robbins, Michael D., Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates.
  17. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of a workpiece.
  18. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of multiple workpieces.
  19. Bergman, Eric J., Apparatus for treating a workpiece with steam and ozone.
  20. Breunsbach Rex (Clackamas OR) Austen Paul M. (Milwaukie OR), Apparatus to clean printed circuit boards.
  21. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  22. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  23. Kozicki Michael N. (Tempe AZ), Carbon enhanced vapor etching.
  24. Lubomirsky, Dmitry, Chamber with flow-through source.
  25. Lubomirsky, Dmitry, Chamber with flow-through source.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  28. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  29. Butterbaugh Jeffery W. ; Gray David C., Cleaning method.
  30. Butterbaugh Jeffery W. ; Gray David C. ; Fayfield Robert T., Cleaning method.
  31. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  32. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  33. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  34. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  35. Jones,William Dale, Control of fluid flow in the processing of an object with a fluid.
  36. Grant, Robert W.; Ruzyllo, Jerzy; Torek, Kevin, Controlled etching of oxides via gas phase reactions.
  37. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  38. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  39. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  40. Arena Foster,Chantal J.; Awtrey,Allan Wendell; Ryza,Nicholas Alan; Schilling,Paul, Developing photoresist with supercritical fluid and developer.
  41. Arena-Foster, Chantal J.; Awtrey, Allan Wendell; Ryza, Nicholas Alan; Schilling, Paul, Developing photoresist with supercritical fluid and developer.
  42. Riedel, Thomas; Wolke, Klaus, Device and method for cleaning substrates.
  43. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  44. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  45. Fayfield Robert T. ; Heitxinger John M., Direct vapor delivery of enabling chemical for enhanced HF etch process performance.
  46. Fayfield Robert T. ; Heitzinger John M., Direct vapor delivery of enabling chemical for enhanced HF etch process performance.
  47. Yamazaki, Shunpei, Display device having light emitting elements with red color filters.
  48. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  49. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  50. Nishimura, Eiichi; Shimizu, Yusuke, Dry etching method for silicon nitride film.
  51. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  52. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  53. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  54. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  55. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  56. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  57. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  58. Arena-Foster, Chantal J.; Awtrey, Allan Wendell; Ryza, Nicholas Alan; Schilling, Paul, Drying resist with a solvent bath and supercritical CO2.
  59. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  60. Ben Tzur,Mira; Ramkumar,Krishnaswamy, Dual-damascene process and associated floating metal structures.
  61. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  62. Fayfield, Robert T.; Schwab, Brent, Equipment for UV wafer heating and photochemistry.
  63. Chinn, Jeffrey D.; Soukane, Sofiane, Etch process for etching microstructures.
  64. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  65. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  66. Okada,Mitsuhiro; Nishimura,Toshiharu; Endo,Atsushi, Film formation apparatus and method of using the same.
  67. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  68. Ocie T. Snodgrass ; Michael K. Farney ; Gregory M. Gibson, Fluid dispensing system.
  69. Snodgrass Ocie T. ; Farney Michael K. ; Gibson Gregory M., Fluid dispensing system having independently operated pumps.
  70. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  71. Yu-Chong Tai ; Xuan-Oi Wang, Gas phase silicon etching with bromine trifluoride.
  72. Tai Yu-Chong ; Wang Xuan-Oi, Gas-phase silicon etching with bromine trifluoride.
  73. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  74. Sheydayi,Alexei; Sutton,Thomas, Gate valve for plus-atmospheric pressure semiconductor process vessels.
  75. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  76. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  77. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  78. Luly, Matthew H.; Singh, Rajiv R.; Redmon, Charles L.; McKown, Jeffrey W.; Pratt, Robert, HF etching and oxide scale removal.
  79. Syverson Daniel J. (Robbinsdale MN) Novak Richard E. (Plymouth MN), HF gas etching of wafers in an acid processor.
  80. Han, Yong-Pil; Sawin, Herbert H., HF vapor phase wafer cleaning and oxide etching.
  81. Watanabe Hirohito (Tokyo JPX) Kyogoku Mitsusuke (Tokyo JPX), HF vapor selective etching method and apparatus.
  82. Sutton, Thomas R.; Biberger, Maximilan A., High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism.
  83. Jones, William D., High pressure fourier transform infrared cell.
  84. Biberger, Maximilian A.; Layman, Frederick Paul; Sutton, Thomas Robert, High pressure processing chamber for semiconductor substrate.
  85. Biberger,Maximilian A.; Layman,Frederick Paul; Sutton,Thomas Robert, High pressure processing chamber for semiconductor substrate.
  86. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  87. Bauer, Matthias, High throughput cyclical epitaxial deposition and etch process.
  88. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  89. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  90. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  91. Tsutsui Hiroaki,JPX ; Matsumura Takao,JPX ; Oikawa Hirokazu,JPX ; Yokoi Masayuki,JPX ; Nakamura Junichi,JPX ; Sato Hiroyuki,JPX ; Mizoe Jun,JPX, Hydrogen fluoride vapor phase selective etching method for fabricating semiconductor devices.
  92. Chang Kyu-hwan,KRX ; Song Jae-inh,KRX ; Park Heung-soo,KRX ; Koh Young-bum,KRX, In-situ cleaning apparatuses for wafers used in integrated circuit devices and methods of cleaning using the same.
  93. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  94. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  95. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  96. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  97. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  98. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  99. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  100. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  101. Yamazaki, Shunpei; Fukunaga, Takeshi; Koyama, Jun; Inukai, Kazutaka, Light emitting device.
  102. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  103. Brabant, Paul D.; Italiano, Joe P.; Wen, Jianqing, Low temperature load and bake.
  104. Brabant,Paul D.; Italiano,Joe P.; Wen,Jianqing, Low temperature load and bake.
  105. Brabant,Paul D.; Italiano,Joe P.; Wen,Jianqing, Low temperature load and bake.
  106. Clark R. Scot ; Baird Stephen S. ; Hoffman Joe G., Manufacture of high precision electronic components with ultra-high purity liquids.
  107. Clark, R. Scot; Baird, Stephen S.; Hoffman, Joe G., Manufacture of high precision electronic components with ultra-high purity liquids.
  108. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  109. Page Allen (San Antonio TX) Hall Stacy W. (San Antonio TX), Metal patterning with dechlorinization in integrated circuit manufacture.
  110. Bohannon Brynne K. ; Syverson Daniel J., Metal selective polymer removal.
  111. Bhardwaj,Jyoti Kiron, Method and apparatus for anisotropic etching.
  112. Takuya Miyakawa JP; Hiroaki Akiyama JP; Shintaro Asuke JP, Method and apparatus for atmospheric pressure plasma surface treatment, method of manufacturing semiconductor device, and method of manufacturing ink jet printing head.
  113. Mori Yoshiaki,JPX ; Miyakawa Takuya,JPX ; Asano Yasuhiko,JPX ; Kurashina Osamu,JPX ; Miyamori Satoshi,JPX ; Kurashima Yohei,JPX ; Anan Makoto,JPX, Method and apparatus for bonding using brazing material.
  114. Takuya Miyakawa,JPX ; Yoshiaki Mori,JPX ; Yohei Kurashima,JPX ; Makoto Anan,JPX, Method and apparatus for bonding using brazing material.
  115. Takuya Miyakawa,JPX ; Yoshiaki Mori,JPX ; Yohei Kurashima,JPX ; Makoto Anan,JPX, Method and apparatus for bonding using brazing material at approximately atmospheric pressure.
  116. Sheydayi,Alexei, Method and apparatus for clamping a substrate in a high pressure processing system.
  117. Schoeppel John F. (San Rafael CA), Method and apparatus for cleaning surfaces to absolute or near-absolute cleanliness.
  118. Syverson Daniel J. (Robbinsdale MN), Method and apparatus for controlling simultaneous etching of front and back sides of wafers.
  119. Goshi,Gentaro, Method and apparatus for cooling motor bearings of a high pressure pump.
  120. Morgan Paul A. ; Torek Kevin, Method and apparatus for etch of a specific subarea of a semiconductor work object.
  121. Takenaka Miyuki,JPX ; Yamada Yuji,JPX ; Hayashi Masaru,JPX ; Matsunaga Hideki,JPX ; Okada Akira,JPX, Method and apparatus for etching of silicon materials.
  122. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method and apparatus for supercritical processing of multiple workpieces.
  123. Butterbaugh Jeffery W. ; Gray David C. ; Fayfield Robert T. ; Siefering Kevin ; Heitzinger John ; Hiatt Fred C., Method and apparatus for surface conditioning.
  124. Kaneko Minako,JPX ; Shimazaki Ayako,JPX ; Ishizaki Itsuro,JPX, Method and device for dissolving surface layer of semiconductor substrate.
  125. Parent,Wayne M.; Goshi,Gentaro, Method and system for cooling a pump.
  126. Parent,Wayne M., Method and system for determining flow conditions in a high pressure processing system.
  127. Parent, Wayne M.; Geshell, Dan R., Method and system for passivating a processing chamber.
  128. Hansen,Brandon; Lowe,Marie, Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid.
  129. Hines Cynthia Marie ; Pinto James Nicholas, Method for deposition tool cleaning.
  130. Park Chang Seo,KRX, Method for fabricating semiconductor device with control of oxide to silicon etching selectivity.
  131. Ciari Richard P., Method for final rinse/dry for critical cleaning application.
  132. Hawthorne Richard C. ; Lee Whonchee, Method for in situ removal of particulate residues resulting from cleaning treatments.
  133. Hawthorne Richard C. ; Lee Whonchee, Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments.
  134. Kume Morihiko,JPX ; Yamamoto Hidekazu,JPX, Method for processing semiconductor substrate.
  135. Bergman, Eric J.; Hess, Mignon P., Method for processing the surface of a workpiece.
  136. Izumi Akira (Kyoto JPX) Toei Keiji (Kyoto JPX) Watanabe Nobuatsu (Kyoto JPX) Chong Yong-Bo (Kyoto JPX), Method for removing a film on a silicon layer surface.
  137. Douglas Monte A. ; Templeton Allen C., Method for removing inorganic contamination by chemical derivitization and extraction.
  138. Verhaverbeke Steven ; Heyns Mark,BEX ; Hendriks Menso,NLX ; de Blank Rene,BEX, Method for semiconductor processing using mixtures of HF and carboxylic acid.
  139. Kawamura,Kohei; Asano,Akira; Miyatani,Koutarou; Hillman,Joseph T.; Palmer,Bentley, Method for supercritical carbon dioxide processing of fluoro-carbon films.
  140. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method for supercritical processing of multiple workpieces.
  141. Scheiter Thomas (Munich DEX) Naeher Ulrich (Munich DEX) Hierold Christofer (Munich DEX), Method for the selective removal of silicon dioxide.
  142. Yu, Mo-Chiun; Chen, Shih-Chang, Method for ultra-thin gate oxide growth.
  143. Patel,Satyadev R.; Schaadt,Gregory P.; MacDonald,Douglas B.; Shi,Hongqin; Huibers,Andrew G.; Heureux,Peter, Method for vapor phase etching of silicon.
  144. Matsushita, Kiyohiro; Fukuda, Hideaki; Kagami, Kenichi, Method of cleaning UV irradiation chamber.
  145. Demos, Alex; Shufflebotham, Paul Kevin; Barnes, Michael; Nguyen, Huong; McMillin, Brian; Ben-Dor, Monique, Method of depositing a silicon containing layer on a semiconductor substrate.
  146. Biberger, Maximilian A.; Schilling, Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  147. Biberger,Maximilian A.; Schilling,Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  148. O'Hara, Anthony, Method of etching a sacrificial silicon oxide layer.
  149. Carrire Claude (Marcoussis FRX) Renard Jean (Paris FRX) Lavole Thierry (Sainte Genevieve Des Bois FRX), Method of etching a surface of an indium phosphide part.
  150. Torek Kevin J., Method of etching thermally grown oxide substantially selectively relative to deposited oxide.
  151. Torek Kevin J., Method of etching thermally grown oxide substantially selectively relative to deposited oxide.
  152. Ko, Jungmin, Method of fin patterning.
  153. Ben Tzur,Mira; Ramkumar,Krishnaswamy; Hunter,James; Rodgers,Thurman J.; Bruner,Mike; Ikeuchi,Klyoko, Method of forming a floating metal structure in an integrated circuit.
  154. Ben-Tzur, Mira; Ramkumar, Krishnaswamy; Hunter, James; Rodgers, Thurman J.; Bruner, Mike; Keuchi, Klyoko, Method of forming a floating metal structure in an integrated circuit.
  155. Chen, Guoqing; Pan, James, Method of forming hemisphere grained silicon on a template on a semiconductor work object.
  156. Pollet, Olivier; Posseme, Nicolas, Method of forming spacers for a gate of a transistor.
  157. Hillman,Joseph, Method of inhibiting copper corrosion during supercritical COcleaning.
  158. Ikegami Yasumitsu,JPX ; Miyakawa Takuya,JPX, Method of making a piezoelectric element.
  159. Kamijima, Akifumi, Method of manufacturing thin film and pretreating method thereof.
  160. Toma,Dorel Ioan; Schilling,Paul, Method of passivating of low dielectric materials in wafer processing.
  161. Shimizu Akira,JPX ; Namba Kunitoshi,JPX, Method of processing semiconductor substrate.
  162. Fujimura Shuzo (Tokyo JPX) Hikazutani Kenichi (Kuwana JPX), Method of removing a layer of organic matter.
  163. Douglas Monte A. ; Templeton Allen C., Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media.
  164. Shinriki,Hiroshi; Aoyama,Shintaro, Method of removing oxide film on a substrate with hydrogen and fluorine radicals.
  165. Biberger,Maximilian Albert; Layman,Frederick Paul; Sutton,Thomas Robert, Method of supercritical processing of a workpiece.
  166. Jeffery W. Butterbaugh ; Brent Schwab, Method of surface preparation.
  167. Schilling,Paul, Method of treating a composite spin-on glass/anti-reflective material prior to cleaning.
  168. Schilling,Paul, Method of treatment of porous dielectric films to reduce damage during cleaning.
  169. Li Li ; Westmoreland Donald L. ; Hawthorne ; deceased Richard C. ; Torek Kevin, Method of wafer cleaning, and system and cleaning solution regarding same.
  170. Shi, Hongqin; Schaadt, Gregory P., Methods and apparatus of etch process control in fabrications of microstructures.
  171. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  172. Bergman, Eric J., Methods for cleaning semiconductor surfaces.
  173. Bergman, Eric J., Methods for cleaning semiconductor surfaces.
  174. Chang Kyu-hwan,KRX ; Song Jae-inh,KRX ; Park Heung-soo,KRX ; Koh Young-bum,KRX, Methods for cleaning wafers used in integrated circuit devices.
  175. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  176. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  177. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  178. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  179. Bergman, Eric J., Methods for processing a workpiece using steam and ozone.
  180. Ellis, Christina Ann, Methods of achieving selective etching.
  181. Chen, Guoqing; Pan, James, Methods of forming hemispherical grained silicon on a template on a semiconductor work object.
  182. Chen, Guoqing; Pan, James, Methods of forming hemispherical grained silicon on a template on a semiconductor work object.
  183. Chen,Guoqing; Pan,James, Methods of forming hemispherical grained silicon on a template on a semiconductor work object.
  184. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  185. Bergman,Eric J., Methods of thinning a silicon wafer using HF and ozone.
  186. Mehta Jitesh (West Bloomington MN), Micro-machine manufacturing process.
  187. Doan,Jonathan; Patel,Satyadev, Microelectromechanical structure and a method for making the same.
  188. Huibers, Andrew; Patel, Satyadev, Micromirror array having reduced gap between adjacent micromirrors of the micromirror array.
  189. Huibers, Andrew; Patel, Satyadev, Micromirror array having reduced gap between adjacent micromirrors of the micromirror array.
  190. Huibers,Andrew; Patel,Satyadev, Micromirror array having reduced gap between adjacent micromirrors of the micromirror array.
  191. Sheydayi,Alexei, Non-contact shuttle valve for flow diversion in high pressure systems.
  192. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  193. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  194. McNeilly Michael A. ; deLarios John M. ; Nobinger Glenn L. ; Krusell Wilbur C. ; Kao Dah-Bin ; Manriquez Ralph K. ; Fan Chiko, Organic preclean for improving vapor phase wafer etch uniformity.
  195. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  196. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  197. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  198. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  199. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  200. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  201. Ikegami Yasumitsu,JPX ; Miyakawa Takuya,JPX, Piezoelectric element, manufacturing method thereof, and mounting apparatus of piezoelectric resonators.
  202. Miyakawa Takuya,JPX, Plasma etching method and method of manufacturing liquid crystal display panel.
  203. Coburn John W. ; Donohoe Kevin G., Plasma etching method using low ionization potential gas.
  204. John W. Coburn ; Kevin G. Donohoe, Plasma etching method using low ionization potential gas.
  205. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  206. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  207. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  208. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  209. Zhang Tianhong ; Lee John K., Polyimide as a mask in vapor hydrogen fluoride etching.
  210. Zhang,Tianhong; Lee,John K., Polyimide as a mask in vapor hydrogen fluoride etching.
  211. Hashimoto Yasuharu,JPX ; Takahashi Osamu,JPX ; Miyazaki Hajime,JPX ; Funasaka Tsukasa,JPX ; Furuhata Makoto,JPX, Power generation method and power generator using a piezoelectric element, and electronic device using the power.
  212. Sheydayi,Alexei, Pressure energized pressure vessel opening and closing device and method of providing therefor.
  213. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  214. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  215. Bergman, Eric J., Process and apparatus for treating a workpiece such as a semiconductor wafer.
  216. Gebhart,Thomas Maximilia; Bergman,Eric J., Process and apparatus for treating a workpiece using ozone.
  217. Bergman,Eric J., Process and apparatus for treating a workpiece with gases.
  218. Scott, Robin Charis; Johnson, Matt, Process and apparatus for treating wafers.
  219. Wuester,Christopher D., Process flow thermocouple.
  220. Feygenson Anatoly (Exeter Township ; Berks County PA) Huang Chang-Kuei (Wyomissing Hill Borough PA), Process for fabricating low defect polysilicon.
  221. Mori Yuzo (Katano JPX) Yamauchi Kazuto (Suita JPX), Process for precise processing of workpiece using free radicals.
  222. Vaccari Giovanni,ITX, Process for the preparation of epitaxial wafers for resistivity measurements.
  223. Rose Peter H. ; Sferlazzo Piero, Processing a surface.
  224. Bergman,Eric J., Processing a workpiece using water, a base, and ozone.
  225. Fujimura Shuzo (Tokyo JPX) Shinagawa Keisuke (Kawasaki JPX) Hikazutani Kenichi (Kuwana JPX), Processing for stripping organic material.
  226. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  227. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  228. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  229. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  230. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  231. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  232. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  233. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  234. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  235. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  236. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  237. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  238. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  239. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  240. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  241. Halpin, Michael W.; Jacobson, Paul T., Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow.
  242. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  243. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  244. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  245. Mullee,William H.; de Leeuwe,Marc; Roberson, Jr.,Glenn A.; Palmer,Bentley J., Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process.
  246. Mullee, William H.; de Leeuwe, Marc; Roberson, Jr., Glenn A., Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process.
  247. Mullee William H. ; de Leeuwe Marc ; Roberson ; Jr. Glenn A., Removal of CMP residue from semiconductors using supercritical carbon dioxide process.
  248. Bertram, Ronald Thomas; Scott, Douglas Michael, Removal of contaminants from a fluid.
  249. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  250. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  251. William H. Mullee ; Maximilian A. Biberger ; Paul E. Schilling, Removal of photoresist and residue from substrate using supercritical carbon dioxide process.
  252. Koch Robert, Removal of polishing residue from substrate using supercritical fluid process.
  253. Ramachandran Ravikumar ; Natzle Wesley ; Gutsche Martin ; Akatsu Hiroyuki ; Yu Chien, Removal of post-RIE polymer on Al/Cu metal line.
  254. Mullee William H., Removal of resist or residue from semiconductors using supercritical carbon dioxide.
  255. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  256. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  257. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  258. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductive films.
  259. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  260. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  261. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  262. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  263. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  264. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  265. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  266. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  267. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  268. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  269. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  270. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  271. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  272. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  273. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  274. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  275. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  276. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  277. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  278. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  279. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  280. Yamazaki, Shunpei, Semiconductor device and manufacturing method thereof.
  281. Yamazaki, Shunpei, Semiconductor device and manufacturing method thereof.
  282. Yamazaki, Shunpei, Semiconductor device and manufacturing method thereof.
  283. Yamazaki, Shunpei, Semiconductor device and manufacturing method thereof.
  284. Lee, Seung Cheol; Lee, Yang Bok, Semiconductor device and method of manufacturing the same.
  285. Takahashi Hironari (Itami JPX), Semiconductor device manufacturing apparatus and cleaning method for the apparatus.
  286. Muraoka Kouichi,JPX ; Kunishima Iwao,JPX ; Nishino Hirotaka, Semiconductor device manufacturing method.
  287. Reardon,Timothy J.; Oberlitner,Thomas H.; Meuchel,Craig P.; Owczarz,Aleksander; Thompson,Raymon F., Semiconductor processing apparatus.
  288. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  289. Bergman Eric J. ; Berner Robert W. ; Oberlitner David, Semiconductor processing using vapor mixtures.
  290. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  291. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  292. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  293. McNeilly Michael A. (Palo Alto CA), Semiconductor wafer processing apparatus.
  294. Gotou, Isamu; Kawasaki, Tomonori, Semiconductor wafer processing method and apparatus.
  295. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  296. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  297. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  298. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  299. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  300. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  301. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  302. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  303. Dai, Hongjie; Mann, David; Zhang, Guangyu, Single-walled carbon nanotubes and methods of preparation thereof.
  304. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  305. Jang, Won-Ick; Choi, Chang-Auck; Jun, Chi-Hoon; Kim, Youn-Tae; Lee, Myung-Lae, Stiction-free microstructure releasing method for fabricating MEMS device.
  306. Thomas, Shawn; Tomasini, Pierre, Stressor for engineered strain on channel.
  307. Chen, Haojiang; Papanu, James S.; Kawaguchi, Mark; Herchen, Harald; Hwang, Jeng H.; Jin, Guangxiang; Palagashvili, David, Substrate cleaning apparatus and method.
  308. Chouno,Yasuhiro; Ito,Norihiro; Satake,Keigo; Iino,Tadashi, Substrate processing apparatus and substrate processing method.
  309. Ito,Norihiro; Kawaguchi,Hiroaki; Chouno,Yasuhiro, Substrate processing device.
  310. Toshima,Takayuki; Shindo,Naoki; Iino,Tadashi, Substrate processing method.
  311. Toshima, Takayuki; Shindo, Naoki; Iino, Tadashi, Substrate processing method and substrate processing apparatus.
  312. Miyashita Takeshi,JPX ; Miyakawa Takuya,JPX ; Aoki Yasutugu,JPX ; Kubota Isao,JPX ; Kurashina Osamu,JPX ; Asano Yasuhiko,JPX ; Oda Yoshio,JPX ; Mori Yoshiaki,JPX, Surface treatment apparatus.
  313. Mori Yoshiaki,JPX ; Miyakawa Takuya,JPX ; Takahashi Katsuhiro,JPX ; Miyashita Takeshi,JPX ; Katagami Satoru,JPX, Surface treatment method.
  314. Gale,Glenn; Hillman,Joseph T.; Jacobson,Gunilla; Palmer,Bentley, System and method for processing a substrate using supercritical carbon dioxide processing.
  315. Bergman,Eric J.; Gebhart,Thomas Maximilian, System and methods for polishing a wafer.
  316. Li Li ; Westmoreland Donald L. ; Hawthorne Richard C. ; Torek Kevin, System for wafer cleaning.
  317. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  318. Hasebe, Kazuhide; Okada, Mitsuhiro; Kotsugai, Hiromichi, Thin film forming apparatus and method of cleaning the same.
  319. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  320. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  321. Jacobson,Gunilla; Yellowaga,Deborah, Treatment of a dielectric layer using supercritical CO.
  322. Kevwitch, Robert, Treatment of substrate using functionalizing agent in supercritical carbon dioxide.
  323. Nuttall Michael ; Torek Kevin J. ; Chapek David L., Trench isolation method.
  324. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  325. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  326. Doshi Vikram N. ; Tomomatsu Hiro ; Clark Roy D. ; Guldi Richard L., Two step oxide removal for memory cells.
  327. Fayfield Robert T. ; Schwab Brent D., UV/halogen treatment for dry oxide etching.
  328. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  329. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  330. Sheydayi,Alexei, Vacuum chuck utilizing sintered material and method of providing thereof.
  331. Baecker James J. ; Becker D. Scott ; Foline Michael J. ; Maciej Todd K., Vacuum compatible water vapor and rinse process module.
  332. Butterbaugh Jeffery W. ; Sawin Herbert H. ; Zhang Zhe ; Han Yong-Pil, Vapor phase cleaning of alkali and alkaline earth metals.
  333. Watanabe Hirohito,JPX ; Kyogoku Mitsusuke,JPX, Vapor selective etching method and apparatus.
  334. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  335. Pagliaro, Robert Henry; Hong, Sung Ho; Kim, Jin Tae; Kim, Deok Ho, Wafer cleaning apparatus and wafer cleaning method using the same.
  336. Miki Nobuhiro,JPX ; Nitta Takahisa,JPX ; Harada Yasuyuki,JPX ; Ohmi Tadahiro,JPX, Washing apparatus and washing method.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트