$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Instruction issuing mechanism for processors with multiple functional units 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-013/00
출원번호 US-0112020 (1987-10-14)
발명자 / 주소
  • Torng Hwa C. (Ithaca NY)
출원인 / 주소
  • Cornell Research Foundation, Inc. (Ithica NY 02)
인용정보 피인용 횟수 : 305  인용 특허 : 6

초록

An instruction issuing mechanism for boosting throughput of processors with multiple functional units. A Dispatch Stack (DS) and a Precedence Count Memory (PCM) are employed which allow multiple instructions to be issued per machine cycle. Additionally, instructions do no have to be issued according

대표청구항

An instruction issuing system for a processor including an execution unit having multiple functional units comprising: an instruction issuing unit receiving instructions from a memory, operating on instructions and forwarding instructions to said execution unit, said instruction issuing unit includi

이 특허에 인용된 특허 (6)

  1. Cray ; Jr. ; Seymour R., Computer vector register processing.
  2. DeSantis Alfred J. (Berwyn PA) Schibinger Joseph S. (Phoenixville PA), Concurrent processing elements for using dependency free code.
  3. Dennis Jack B. (Belmont MA) Misunas David P. (Boston MA), Data processing apparatus for highly parallel execution of stored programs.
  4. O\Leary George P. (Beaverton OR), Floating point data processor having fast access memory means.
  5. Garlic Richard A. (Irvine CA), Microprocessor with parallel operation.
  6. Cornish Merrill A. (Austin TX) Chastain David M. (Plano TX) Jensen John C. (Austin TX), Operation sequencing mechanism.

이 특허를 인용한 특허 (305)

  1. Kevin J. McGrath ; Michael T. Clark ; Scott A. White, Alternate fault handler.
  2. Narayan Rammohan ; Tran Thang M., Apparatus and method for aligning variable byte-length instructions to a plurality of issue positions.
  3. Mahalingaiah Rupaka ; Miller Paul K., Apparatus and method for detecting microbranches early.
  4. Mahalingaiah Rupaka ; Miller Paul K., Apparatus and method for detecting microbranches early.
  5. Mahalingaiah Rupaka ; Miller Paul K., Apparatus and method for detecting microbranches early.
  6. Petro Anthony M. ; McMinn Brian D., Apparatus and method for efficient loop control in a superscalar microprocessor.
  7. Yao Nathan L. (Austin TX) Goddard Michael D. (Austin TX), Apparatus and method for instruction queue scanning.
  8. Mahalingaiah Rupaka ; Miller Paul K., Apparatus and method for microcode patching for generating a next address.
  9. Tan Teik-Chung ; Tran Thang M., Apparatus and method for modifying status bits in a reorder buffer with a large speculative state.
  10. Mahalingaiah Rupaka ; Tran Thang, Apparatus and method for patching an instruction by providing a substitute instruction or instructions from an external.
  11. Ramagopal H. S. ; Hattangadi Rajiv M., Apparatus and method for performing speculative stores.
  12. Mahalingaiah Rupaka, Apparatus and method for predicting an end of a microcode loop.
  13. Mahalingaiah Rupaka, Apparatus and method for predicting an end of loop for string instructions.
  14. Walker Wade A. ; Matheny D. T., Apparatus and method for retiring instructions in excess of the number of accessible write ports.
  15. Walker Wade A. ; Matheny David T., Apparatus and method for retiring instructions in excess of the number of accessible write ports.
  16. Lynch Thomas W. ; Ahmed Ashraf, Apparatus and method for tagging floating point operands and results for rapid detection of special floating point numbers.
  17. Mahalingaiah Rupaka ; Pickett James K., Apparatus and method for tracing microprocessor instructions.
  18. Ramagopal H. S. ; Hattangadi Rajiv M., Apparatus and method performing speculative stores.
  19. Pickett James K. ; Tran Thang M., Apparatus for aligning instructions using predecoded shift amounts.
  20. Pflum Marty L., Apparatus for efficient instruction execution via variable issue and variable control vectors per issue.
  21. Mahalingaiah Rupaka ; Tran Thang M., Apparatus for efficiently providing memory operands for instructions.
  22. Tran Thang M. ; Meyer Derrick R., Apparatus for exchanging two stack registers.
  23. Mahalingaiah Rupaka ; Tran Thang M., Apparatus for providing memory and register operands concurrently to functional units.
  24. Hirata Hiroaki (Kyoto-fu JPX) Nishimura Akio (Osaka-fu JPX), Apparatus for simultaneously scheduling instructions from plural instruction stream into plural instruction executions u.
  25. Ramagopal Hebbalalu Suryaprakash ; Hattangadi Rajiv M., Apparatus for speculatively storing and restoring data to a cache memory.
  26. Tran Thang M. (Austin TX) McBride Andrew (Austin TX), Array having an update circuit for updating a storage location with a value stored in another storage location.
  27. Witt David B. ; Tran Thang M., Branch misprediction recovery in a reorder buffer having a future file.
  28. Tran Thang M., Branch prediction mechanism employing branch selectors to select a branch prediction.
  29. Tran Thang M., Branch prediction mechanism employing branch selectors to select a branch prediction.
  30. Tran Thang M., Branch prediction mechanism employing branch selectors to select a branch prediction.
  31. Tran Thang M., Branch prediction storage for storing branch prediction information such that a corresponding tag may be routed with the.
  32. Tran Chinh N. ; Lewchuk W. Kurt, Branch prediction unit which approximates a larger number of branch predictions using a smaller number of branch predict.
  33. Tran Thang M. ; Kroesche David E. ; Muthusamy Karthikeyan ; McBride Andrew, Branch selector prediction.
  34. Tran Thang M., Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions.
  35. Tran Thang M., Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions.
  36. Tran Thang M., Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions.
  37. Narayan Rammohan ; Tran Thang M., Byte queue divided into multiple subqueues for optimizing instruction selection logic.
  38. Correll Jeffrey A. (Austin TX), Cache column timing control.
  39. Tran Thang M. ; Muthusamy Karthikeyan ; Narayan Rammohan ; McBride Andrew, Cache holding register for delayed update of a cache line into an instruction cache.
  40. Tran Thang M. ; Muthusamy Karthikeyan ; Narayan Rammohan ; McBride Andrew, Cache holding register for receiving instruction packets and for providing the instruction packets to a predecode unit.
  41. Song Seungtaik Michael, Cache memory to processor bus interface and method thereof.
  42. Rowlands,Joseph B.; Kaza,Rohini Krishna, Cache programmable to partition ways to agents and/or local/remote blocks.
  43. Pickett James K., Cache structure having a reduced tag comparison to enable data transfer from said cache.
  44. Christie, David S., Central processing unit (CPU) accessing an extended register set in an extended register mode.
  45. Dwyer ; III Harry (Endicott NY), Computer organization for multiple and out-of-order execution of condition code testing and setting instructions.
  46. Dwyer ; III Harry, Computer organization for multiple and out-of-order execution of condition code testing and setting instructions out-o.
  47. McFarland Harold L. ; Stiles David R. ; Van Dyke Korbin S. ; Mehta Shrenik ; Favor John Gregory ; Greenley Dale R. ; Cargnoni Robert A., Computer processor with distributed pipeline control that allows functional units to complete operations out of order.
  48. Dwyer ; III Harry, Computer system having organization for multiple condition code setting and for testing instruction out-of-order.
  49. Tran Thang M. ; Witt David B., Computer system including a microprocessor having a reorder buffer employing last in buffer and last in line indications.
  50. Liptay John S. (Rhinebeck NY), Computer system with logic for writing instruction identifying data into array control lists for precise post-branch rec.
  51. Mahalingaiah Rupaka ; Tran Thang M. ; Witt David B., Conditional early data address generation mechanism for a microprocessor.
  52. Marty L. Pflum, Control bit vector storage for a microprocessor.
  53. Pflum Marty L., Control bit vector storage for storing control vectors corresponding to instruction operations in a microprocessor.
  54. Morton Steven G, DPS having a plurality of like processors controlled in parallel by an instruction word, and a control processor also controlled by the instruction word.
  55. Morton Steven G., DSP having a plurality of like processors controlled in parallel by an instruction word, and a control processor also c.
  56. Tran, Thang M., Data address prediction structure and a method for operating the same.
  57. Witt David B. ; Hattangadi Rajiv M., Data cache which speculatively updates a predicted data cache storage location with store data and subsequently correct.
  58. Tran Thang M. ; Pickett James K., Data memory unit and method for storing data into a lockable cache in one clock cycle by previewing the tag array.
  59. Shintani Yooichi (Hadano JPX) Kuriyama Kazunori (Saitama JPX) Shonai Tohru (Hadano JPX) Kamada Eiki (Hadano JPX) Inoue Kiyoshi (Tokyo JPX), Data processor for concurrent executing of instructions by plural execution units.
  60. Walker Wade A., Decoupled forwarding reorder buffer configured to allocate storage in chunks for instructions having unresolved depende.
  61. Zuraski ; Jr. Gerald D. ; White Scott A. ; Chinnakonda Murali S. ; Christie David S., Dependency checking and forwarding of variable width operands.
  62. Chinnakonda Muralidharan S. ; Tran Thang M. ; Walker Wade A., Dependency table for reducing dependency checking hardware.
  63. Chinnakonda Muralidharan S. ; Tran Thang M. ; Walker Wade A., Dependency table for reducing dependency checking hardware.
  64. Chinnakonda Muralidharan S. ; Tran Thang M. ; Walker Wade A., Dependency table for reducing dependency checking hardware.
  65. Rowlands, Joseph B.; Dickman, Michael P., Deterministic setting of replacement policy in a cache.
  66. Rowlands, Joseph B.; Dickman, Michael P., Deterministic setting of replacement policy in a cache through way selection.
  67. Morton Steven G., Digital signal processor containing scalar processor and a plurality of vector processors operating from a single instruction.
  68. Rowlands, Joseph B.; Dickman, Michael P., Direct access mode for a cache.
  69. Rowlands,Joseph B.; Dickman,Michael P., Direct access mode for a cache.
  70. Narayan Rammohan ; Muthusamy Karthikeyan, Dual comparator scheme for detecting a wrap-around condition and generating a cancel signal for removing wrap-around b.
  71. Huang,Hsilin; Weng,Kuoyin; Su,Yijung, Dynamic instruction dependency monitor and control system.
  72. Witt David B., Fast linear tag validation unit for use in microprocessor.
  73. Pickett James K. ; Tran Thang M., Fetching instructions from an instruction cache using sequential way prediction.
  74. Orup Holger, Floating point NaN comparison.
  75. Luedtke Mark R. ; Miller Paul K. ; Hinds Chris N. ; Ahmed Ashraf, Floating point and multimedia unit with data type reclassification capability.
  76. Goddard Michael D. ; White Scott A., Floating point stack and exchange instruction.
  77. Witt David B. ; Meyer Derrick R., Floating point stack manipulation using a register map and speculative top of stack values.
  78. Witt David B. ; Meyer Derrick R., Floating point unit using a central window for storing instructions capable of executing multiple instructions in a single clock cycle.
  79. Pickett James K., Functional bit identifying a prefix byte via a particular state regardless of type of instruction.
  80. Tran Thang M., Functional unit with a pointer for mispredicted resolution, and a superscalar microprocessor employing the same.
  81. Sager David J. ; Saxe James Benjamin, Hardware instruction scheduler for short execution unit latencies.
  82. Lynch Thomas W., Hierarchical microcode implementation of floating point instructions for a microprocessor.
  83. Johnson William M. ; Witt David B. ; Chinnakonda Murali, High performance load/store functional unit and data cache.
  84. Johnson William M. ; Witt David B. ; Chinnakonda Murali, High performance load/store functional unit and data cache.
  85. Tran Thang ; Witt David B., High performance superscalar alignment unit.
  86. Tran Thang ; Witt David B., High performance superscalar alignment unit.
  87. Witt David B. (Austin TX) Johnson William M. (Austin TX), High performance superscalar microprocessor including a common reorder buffer and common register file for both integer.
  88. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High performance, superscalar-based computer system with out-of-order instruction execution.
  89. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High performance, superscalar-based computer system with out-of-order instruction execution.
  90. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High performance, superscalar-based computer system with out-of-order instruction execution.
  91. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance superscalar-based computer system with out-of-order instruction execution and concurrent results distri.
  92. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance superscalar-based computer system with out-of-order instruction execution and concurrent results distribution.
  93. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te Li; Wang, Sze Shun; Trang, Quang H., High-performance superscalar-based computer system with out-of-order instruction execution and concurrent results distribution.
  94. Nguyen Le T. (Monte Sereno CA) Lentz Derek J. (Los Gatos CA) Miyayama Yoshiyuki (Santa Clara CA) Garg Sanjiv (Freemont CA) Hagiwara Yasuaki (Santa Clara CA) Wang Johannes (Redwood City CA) Lau Te-Li , High-performance, superscalar-based computer system with out-of-order instruction execution.
  95. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  96. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  97. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  98. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  99. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  100. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  101. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  102. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  103. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  104. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  105. Nguyen, Le-Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  106. Nguyen,Le Trong; Lentz,Derek J.; Miyayama,Yoshiyuki; Garg,Sanjiv; Hagiwara,Yasuaki; Wang,Johannes; Lau,Te Li; Wang,Sze Shun; Trang,Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  107. Nguyen,Le Trong; Lentz,Derek J; Miyayama,Yoshiyuki; Garg,Sanjiv; Hagiwara,Yasuaki; Wang,Johannes; Lau,Te Li; Wang,Sze Shun; Trang,Quang H, High-performance, superscalar-based computer system with out-of-order instruction execution.
  108. Nguyen,Le Trong; Lentz,Derek J; Miyayama,Yoshiyuki; Garg,Sanjiv; Hagiwara,Yasuaki; Wang,Johannes; Lau,Te Li; Wang,Sze Shun; Trang,Quang H, High-performance, superscalar-based computer system with out-of-order instruction execution.
  109. Nguyen Le Trong ; Lentz Derek J. ; Miyayama Yoshiyuki ; Garg Sanjiv ; Hagiwara Yasuaki ; Wang Johannes ; Lau Te-Li ; Wang Sze-Shun ; Trang Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution and concurrent results distribution.
  110. Nguyen,Le Trong; Lentz,Derek J.; Miyayama,Yoshiyuki; Garg,Sanjiv; Hagiwara,Yasuaki; Wang,Johannes; Lau,Te Li; Wang,Sze Shun; Trang,Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution and concurrent results distribution.
  111. Narayan Rammohan ; Madduri Venkateswara Rao, Instruction alignment unit employing dual instruction queues for high frequency instruction dispatch.
  112. Narayan Rammohan ; Madduri Venkateswara Rao, Instruction alignment unit employing dual instruction queues for high frequency instruction dispatch.
  113. Narayan Rammohan ; Madduri Venkateswara Rao, Instruction alignment unit employing dual instruction queues for high frequency instruction dispatch.
  114. Thang Tran ; David B. Witt, Instruction alignment unit for routing variable byte-length instructions.
  115. Tran Thang M. ; Narayan Rammohan ; Nayak Jagadish V., Instruction alignment using a dispatch list and a latch list.
  116. Tran Thang M., Instruction cache configured to provide instructions to a microprocessor having a clock cycle time less than a cache access time of said instruction cache.
  117. Narayan Rammohan, Instruction classification circuit configured to classify instructions into a plurality of instruction types prior to d.
  118. Witt David B. ; Johnson William M., Instruction decoder/dispatch.
  119. Pickett James K. ; Tran Thang M., Instruction fetch unit configured to provide sequential way prediction for sequential instruction fetches.
  120. Yao Nathan L. ; Goddard Michael D., Instruction queue scanning using opcode identification.
  121. Narayan Rammohan ; Tran Thang M., Instruction scanning unit for locating instructions via parallel scanning of start and end byte information.
  122. Lynch Thomas W., Interface for coupling a floating point unit to a reorder buffer.
  123. Narayan Rammohan ; Southard Shane A. ; Tran Thang M., Invalid instruction scan unit for detecting invalid predecode data corresponding to instructions being fetched.
  124. David B. Witt ; Thang M. Tran, Line-oriented reorder buffer configured to selectively store a memory operation result in one of the plurality of reorder buffer storage locations corresponding to the executed instruction.
  125. Witt David B., Linearly addressable microprocessor cache.
  126. Ramagopal H. S. ; Hattangadi Rajiv M. ; Chinnakonda Muralidharan S., Load/store unit implementing non-blocking loads for a superscalar microprocessor and method of selecting loads in a non.
  127. Tran Thang M., Load/store unit with multiple oldest outstanding instruction pointers for completing store and load/store miss instruct.
  128. Pflum Marty L., Lookahead register value generator and a superscalar microprocessor employing same.
  129. Torng Hwa C. ; Day Martin,CAX, Machine for processing interrupted out-of-order instructions.
  130. Witt David B., Map unit having rapid misprediction recovery.
  131. Christie David S., Mechanism for fast revalidation of virtual tags.
  132. Steely ; Jr. Simon C. (Hudson NH) Sager David J. (Acton MA) Fite ; Jr. David B. (Northborough MA), Memory reference tagging.
  133. Griffith James S. (Aloha OR) Gupta Shantanu R. (Beaverton OR) Hinton Glenn J. (Portland OR), Method and apparatus for binding instructions to dispatch ports of a reservation station.
  134. Harold L. McFarland ; David R. Stiles ; Korbin S. Van Dyke ; Shrenik Mehta ; John Gregory Favor ; Dale R. Greenley ; Robert A. Cargnoni, Method and apparatus for debugging an integrated circuit.
  135. Witt David B. ; Goddard Michael D., Method and apparatus for decoding one or more complex instructions into concurrently dispatched simple instructions.
  136. McFarland Harold L. ; Stiles David R. ; Van Dyke Korbin S. ; Mehta Shrenik ; Favor John Gregory ; Greenley Dale R. ; Cargnoni Robert A., Method and apparatus for executing string instructions.
  137. Pomerene James H. (Chappaqua NY) Puzak Thomas R. (Cary NC) Rechtschaffen Rudolph N. (Scarsdale NY) Sparacio Frank J. (North Bergen NJ), Method and apparatus for guaranteeing the logical integrity of data in the general purpose registers of a complex multi-.
  138. Tran Thang M., Method and apparatus for predecoding variable byte length instructions for fast scanning of instructions.
  139. Narayan Rammohan ; Tran Thang M., Method and apparatus for predecoding variable byte length instructions for scanning of a number of RISC operations.
  140. Tran Thang M., Method and apparatus for predecoding variable byte-length instructions within a superscalar microprocessor.
  141. Muthusamy Karthikeyan, Method and circuit for fast generation of zero flag condition code in a microprocessor-based computer.
  142. Christie David S., Method and mechanism for checking integrity of byte enable signals.
  143. Kahle James Allan ; Waldecker Donald Emil, Method and system for nonsequential instruction dispatch and execution in a superscalar processor system.
  144. Levine Frank Eliot ; Roth Charles Philip ; Welbon Edward Hugh, Method and system for performance monitoring efficiency of branch unit operation in a processing system.
  145. Levine Frank Eliot (Austin TX) Roth Charles Philip (Austin TX) Welbon Edward Hugh (Austin TX), Method and system for performance monitoring of dispatch unit efficiency in a processing system.
  146. Levine Frank Eliot ; Roth Charles Philip ; Welbon Edward Hugh, Method and system for performance monitoring of misaligned memory accesses in a processing system.
  147. Levine Frank Eliot ; Roth Charles Philip ; Welbon Edward Hugh, Method and system for performance monitoring through identification of frequency and length of time of execution of ser.
  148. Levine Frank Eliot ; Roth Charles Philip ; Welbon Edward Hugh, Method and system for performance monitoring time lengths of disabled interrupts in a processing system.
  149. Narayan Rammohan ; Mahalingaiah Rupaka ; Miller Paul K., Method for concurrently dispatching microcode and directly-decoded instructions in a microprocessor.
  150. Narayan Rammohan ; Mahalingaiah Rupaka ; Miller Paul K., Method for concurrently dispatching microcode and directly-decoded instructions in a microprocessor.
  151. Mahalingaiah Rupaka ; Zuraski ; Jr. Gerald D., Method for detecting updates to instructions which are within an instruction processing pipeline of a microprocessor.
  152. Tran Thang M. ; Pflum Marty L. ; Witt David B. ; Johnson William M., Method for transferring data between a pair of caches configured to be accessed from different stages of an instruction.
  153. Witt David B. ; Tran Thang M., Method of allocating a fixed reorder buffer storage line for execution results regardless of a number of concurrently d.
  154. Shen,Gene W.; Nelson,S. Craig, MicroTLB and micro tag for reducing power in a processor.
  155. Kevin J. McGrath ; James K. Pickett, Microcode patch device and method for patching microcode using match registers and patch routines.
  156. Goddard Michael D. ; Christie David S., Microcode patching apparatus and method.
  157. Narayan Rammohan ; Southard Shane A. ; Tran Thang M., Microcode scan unit for scanning microcode instructions using predecode data.
  158. Narayan Rammohan ; Southard Shane A. ; Tran Thang M., Microcode scan unit for scanning microcode instructions using predecode data.
  159. Dutton Drew J. ; Christie David S., Microprocessor and method of using a segment override prefix instruction field to expand the register file.
  160. Rupaka Mahalingaiah ; Gerald D. Zuraski, Jr., Microprocessor configured to detect updates to instructions outstanding within an instruction processing pipeline and computer system including same.
  161. Narayan Rammohan ; Mahalingaiah Rupaka ; Miller Paul K., Microprocessor configured to simultaneously dispatch microcode and directly-decoded instructions.
  162. Tran Thang M. ; Witt David B. ; Johnson William M., Microprocessor configured to swap operands in order to minimize dependency checking logic.
  163. Pflum Marty L., Microprocessor employing and method of using a control bit vector storage for instruction execution.
  164. Mahalingaiah Rupaka ; Tran Thang M., Microprocessor having address generation units for efficient generation of memory operation addresses.
  165. Witt David B. ; Tran Thang M., Microprocessor including virtual address branch prediction and current page register to provide page portion of virtual and physical fetch address.
  166. Dutton Drew J. ; Christie David S., Microprocessor using an instruction field to specify condition flags for use with branch instructions and a computer sys.
  167. Dutton Drew J. (Austin TX) Christie David S. (Austin TX), Microprocessor using an instruction field to specify expanded functionality and a computer system employing same.
  168. Witt David B., Microprocessor with dynamically extendable pipeline stages and a classifying circuit.
  169. Ray David S. (Georgetown TX) Thatcher Larry E. (Austin TX) Warren ; Jr. Henry S. (Ossining NY), Multiple execution unit dispatch with instruction shifting between first and second instruction buffers based upon data.
  170. Tran Thang M., Number of pipeline stages and loop length related counter differential based end-loop prediction.
  171. Orup Holger, On-the-fly one-hot encoding of leading zero count.
  172. Narayan Rammohan, Parallel and scalable instruction scanning unit.
  173. Narayan Rammohan, Parallel and scalable method for identifying valid instructions and a superscalar microprocessor including an instructi.
  174. Narayan Rammohan, Parallel mask decoder and method for generating said mask.
  175. Narayan Rammohan, Parallel mask decoder and method for generating said mask.
  176. Muthusamy, Karthikeyan, Parallel mask generator.
  177. Tran Thang M., Pipelined instruction cache and branch prediction mechanism therefor.
  178. Lynch Thomas W., Piping rounding mode bits with floating point instructions to eliminate serialization.
  179. Witt David B. (Austin TX) Goddard Michael D. (Austin TX), Pre-decoded instruction cache and method therefor particularly suitable for variable byte-length instructions.
  180. Witt David B. ; Goddard Michael D., Pre-decoded instruction cache and method therefor particularly suitable for variable byte-length instructions.
  181. Thang M. Tran, Predecode buffer including buffer pointer indicating another buffer for predecoding.
  182. Tran Thang M., Predecode unit adapted for variable byte-length instruction set processors and method of operating the same.
  183. Tran Thang M. ; Narayan Rammohan ; McBride Andrew ; Muthusamy Karthikeyan, Predecoding technique for indicating locations of opcode bytes in variable byte-length instructions within a superscalar microprocessor.
  184. Tran Thang M., Prefetch buffer which stores a pointer indicating an initial predecode position.
  185. Favor John G. ; Ben-Meir Amos ; Trull Jeffrey E., Processing system that rapidly indentifies first or second operations of selected types for execution.
  186. Popescu Valeri (San Diego CA) Schultz Merle A. (Escondido CA) Gibson Gary A. (Carlsbad CA) Spracklen John E. (San Diego CA) Lightner Bruce D. (San Diego CA), Processor architecture having out-of-order execution, speculative branching, and giving priority to instructions which a.
  187. Popescu Valeri ; Schultz Merle A. ; Gibson Gary A. ; Spracklen John E. ; Lightner Bruce D., Processor architecture providing for speculative execution of instructions with multiple predictive branching and handl.
  188. Popescu Valeri (San Diego CA) Schultz Merle A. (Escondido CA) Gibson Gary A. (Carlsbad CA) Spracklen John E. (San Diego CA) Lightner Bruce D. (San Diego CA), Processor architecture providing out-of-order execution.
  189. Popescu Valeri (San Diego CA) Schultz Merle A. (Escondido CA) Gibson Gary A. (Carlsbad CA) Spracklen John E. (San Diego CA) Lightner Bruce D. (San Diego CA), Processor architecture supporting multiple speculative branches and trap handling.
  190. Witt David B., Processor configured to map logical register numbers to physical register numbers using virtual register numbers.
  191. Witt David B., Processor configured to map logical register numbers to physical register numbers using virtual register numbers.
  192. Witt David B., Processor configured to selectively free physical registers upon retirement of instructions.
  193. Lancaster, John C, Processor controller for accelerating instruction issuing rate.
  194. David B. Witt, Processor including efficient fetch mechanism for L0 and L1 caches.
  195. Witt, David B., Processor including efficient fetch mechanism for L0 and L1 caches.
  196. Christie David S. ; Kranich Uwe,DEX, Processor programably configurable to execute enhanced variable byte length instructions including predicated execution, three operand addressing, and increased register space.
  197. Papworth David B. ; Fetterman Michael A. ; Glew Andrew F. ; Colwell Robert P. ; Hinton Glenn J., Processor that redirects an instruction fetch pipeline immediately upon detection of a mispredicted branch while committing prior instructions to an architectural state.
  198. Christie David S. ; White Scott A. ; Goddard Michael D., Program counter update mechanism.
  199. David S. Christie ; Scott A. White ; Michael D. Goddard, Program counter update mechanism.
  200. Rowlands, Joseph B.; Keller, James B., Programmably disabling one or more cache entries.
  201. Rowlands,Joseph B.; Keller,James B., Programmably disabling one or more cache entries.
  202. Garg Sanjiy ; Lentz Derek J. ; Nguyen Le Trong ; Chen Sho Long, RISC microprocessor architecture implementing multiple typed register sets.
  203. Garg Sanjiy ; Lentz Derek J. ; Nguyen Le Trong ; Chen Sho Long, RISC microprocessor architecture implementing multiple typed register sets.
  204. Garg, Sanjiv; Lentz, Derek J.; Nguyen, Le Trong; Chen, Sho Long, RISC microprocessor architecture implementing multiple typed register sets.
  205. Garg, Sanjiv; Lentz, Derek J.; Nguyen, Le Trong; Chen, Sho Long, RISC microprocessor architecture implementing multiple typed register sets.
  206. Rowlands, Joseph B.; Ning, Chun H., Random generator.
  207. Rowlands,Joseph B.; Ning,Chun H., Random generator.
  208. Lynch Thomas W., Rapid pipeline control using a control word and a steering word.
  209. Witt David B. ; Tran Thang M., Recorder buffer and a method for allocating a fixed amount of storage for instruction results independent of a number of concurrently dispatched instructions.
  210. Tran Thang M., Recorder buffer capable of detecting dependencies between accesses to a pair of caches.
  211. Mahalingaiah Rupaka ; McBride Andrew ; Tran Thang M., Reduced size storage apparatus for storing cache-line-related data in a high frequency microprocessor.
  212. Walker Wade A., Register rename stack for a microprocessor.
  213. Walker Wade A., Register rename stack for a microprocessor.
  214. Walker Wade A., Register rename stack for a microprocessor.
  215. Witt David B. ; Tran Thang M., Reorder buffer configured to allocate storage capable of storing results corresponding to a maximum number of concurrent.
  216. Witt David B. ; Tran Thang M., Reorder buffer configured to allocate storage for instruction results corresponding to predefined maximum number of concurrently receivable instructions independent of a number of instructions receiv.
  217. Walker Wade A., Reorder buffer configured to store both speculative and committed register states.
  218. Witt David B. ; Tran Thang M., Reorder buffer employed in a microprocessor to store instruction results having a plurality of entries predetermined to correspond to a plurality of functional units.
  219. Tran Thang M. ; Witt David B., Reorder buffer employing last in line indication.
  220. Tran Thang M., Reorder buffer having a future file for storing speculative instruction execution results.
  221. Tran Thang M., Reorder buffer having a future file for storing speculative instruction execution results.
  222. Witt David B. ; Tran Thang M., Reorder buffer having an improved future file for storing speculative instruction execution results.
  223. Tan Teik-Chung, Reorder buffer including a circuit for selecting a designated mask corresponding to an instruction that results in an e.
  224. Walker Wade A., Reorder buffer which forwards operands independent of storing destination specifiers therein.
  225. Tran Thang M. ; Mahalingaiah Rupaka, Return address prediction system which adjusts the contents of return stack storage to enable continued prediction afte.
  226. Witt David B. ; Tran Thang M., Reverse TLB for providing branch target address in a microprocessor having a physically-tagged cache.
  227. Witt David B. ; Tran Thang M., Reverse TLB for providing branch target address in a microprocessor having a physically-tagged cache.
  228. Lynch Thomas W., Ripple carry shifter in a floating point arithmetic unit of a microprocessor.
  229. Favor John G. ; Ben-Meir Amos ; Trull Jeffrey E., Scan chain for rapidly identifying first or second objects of selected types in a sequential list.
  230. Christie David S., Segmentation suspend mode for real-time interrupt support.
  231. Witt David B., Selecting cache to fetch in multi-level cache system based on fetch address source and pre-fetching additional data to the cache for future access.
  232. McFarland Harold L. ; Stiles David R. ; Van Dyke Korbin S. ; Mehta Shrenik ; Favor John Gregory ; Greenley Dale R. ; Cargnoni Robert A., Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execut.
  233. Tran Thang M., Shared branch prediction structure.
  234. Witt David B., Speculative instruction queue and method therefor particularly suitable for variable byte-length instructions.
  235. Tran Thang M. ; Pickett James K. ; Mahalingaiah Rupaka, Speculative register file for storing speculative register states and removing dependencies between instructions utiliz.
  236. Tran Thang M. ; Pickett James K. ; Mahalingaiah Rupaka, Speculative register storage for storing speculative results corresponding to register updated by a plurality of concurr.
  237. Tran Thang M., Storage device having varying access times and a superscalar microprocessor employing the same.
  238. Pickett James K., Stride-based data address prediction structure.
  239. Pickett James K., Stride-based data address prediction structure.
  240. Garg Sanjiv ; Iadonato Kevin Ray ; Nguyen Le Trong ; Wang Johannes, Superscalar RISC instruction scheduling.
  241. Garg, Sanjiv; Iadonato, Kevin Ray; Nguyen, Le Trong; Wang, Johannes, Superscalar RISC instruction scheduling.
  242. Garg,Sanjiv; Iadonato,Kevin Ray; Nguyen,Le Trong; Wang,Johannes, Superscalar RISC instruction scheduling.
  243. Witt David B. ; Goddard Michael D.,GBX, Superscalar instruction decoder including an instruction queue.
  244. Tran Thang M. ; Mahalingaiah Rupaka, Superscalar microprocessor configured to predict return addresses from a return stack storage.
  245. Tran Thang M. ; Mahalingaiah Rupaka, Superscalar microprocessor configured to predict return addresses from a return stack storage.
  246. Tran Thang M. ; Mahalingaiah Rupaka, Superscalar microprocessor configured to predict return addresses from a return stack storage.
  247. Witt David B. ; Hattangadi Rajiv M., Superscalar microprocessor employing a data cache capable of performing store accesses in a single clock cycle.
  248. Witt David B. ; Hattangadi Rajiv M., Superscalar microprocessor employing a data cache capable of performing store accesses in a single clock cycle.
  249. Tran Thang M. ; Pickett James K., Superscalar microprocessor employing a way prediction unit to predict the way of an instruction fetch address and to co.
  250. Coon Brett ; Miyayama Yoshiyuki ; Nguyen Le Trong ; Wang Johannes, Superscalar microprocessor for out-of-order and concurrently executing at least two RISC instructions translating from.
  251. Witt David B. ; Tran Thang M., Superscalar microprocessor having symmetrical, fixed issue positions each configured to execute a particular subset of i.
  252. Pflum Marty L., Superscalar microprocessor including a cache configured to detect dependencies between accesses to the cache and anothe.
  253. Tran Thang M., Superscalar microprocessor including a decoded instruction cache configured to receive partially decoded instructions.
  254. Tran Thang ; Witt David B. ; Johnson William M., Superscalar microprocessor including a high speed instruction alignment unit.
  255. Tran Thang M. ; Witt David B. ; Johnson William M., Superscalar microprocessor including a load/store unit, decode units and a reorder buffer to detect dependencies between access to a stack cache and a data cache.
  256. Witt David B. ; Tran Thang, Superscalar microprocessor including an instruction alignment unit with limited dispatch to decode units.
  257. White Scott A. (Austin TX) Christie David S. (Austin TX) Goddard Michael D. (Austin TX), Superscalar microprocessor including flag operand renaming and forwarding apparatus.
  258. White Scott A. ; Christie David S. ; Goddard Michael D., Superscalar microprocessor including flag operand renaming and forwarding apparatus.
  259. Ramagopal H. S. ; Tran Thang M. ; Pickett James K., Superscalar microprocessor load/store unit employing a unified buffer and separate pointers for load and store operatio.
  260. Tran Thang M. ; Witt David B., Superscalar microprocessor which delays update of branch prediction information in response to branch misprediction unti.
  261. Narayan Rammohan, Superscaler microprocessor employing a parallel mask decoder.
  262. Iadonato Kevin R. ; Deosaran Trevor A. ; Garg Sanjiv, System and method for assigning tags to control instruction processing in a superscalar processor.
  263. Iadonato, Kevin R.; Deosaran, Trevor A.; Garg, Sanjiv, System and method for assigning tags to control instruction processing in a superscalar processor.
  264. Iadonato,Kevin R.; Deosaran,Trevor A.; Garg,Sanjiv, System and method for assigning tags to control instruction processing in a superscalar processor.
  265. Iadonato,Kevin R.; Deosaran,Trevor A.; Garg,Sanjiv, System and method for assigning tags to control instruction processing in a superscalar processor.
  266. Kevin R. Iadonato ; Trevor A. Deosaran ; Sanjiv Garg, System and method for assigning tags to control instruction processing in a superscalar processor.
  267. Iadonato Kevin Ray ; Deosaran Trevor Anthony ; Garg Sanjiv, System and method for assigning tags to instructions to control instruction execution.
  268. Christie, David S., System and method for controlling access to a privilege-partitioned address space with a fixed set of attributes.
  269. Wang, Johannes; Garg, Sanjiv; Deosaran, Trevor, System and method for handling exceptions and branch mispredictions in a superscalar microprocessor.
  270. Brashears, Cheryl Senter; Wang, Johannes; Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., System and method for handling load and/or store operations in a superscalar microprocessor.
  271. Cheryl D. Senter ; Johannes Wang, System and method for handling load and/or store operations in a superscalar microprocessor.
  272. Senter Brashears, Cheryl; Wang, Johannes; Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., System and method for handling load and/or store operations in a superscalar microprocessor.
  273. Senter, Cheryl D.; Wang, Johannes, System and method for handling load and/or store operations in a superscalar microprocessor.
  274. Senter, Cheryl D.; Wang, Johannes, System and method for handling load and/or store operations in a superscalar microprocessor.
  275. Senter, Cheryl D.; Wang, Johannes, System and method for handling load and/or store operations in a superscalar microprocessor.
  276. Senter, Cheryl D.; Wang, Johannes, System and method for handling load and/or store operations in a superscalar microprocessor.
  277. Senter,Cheryl D.; Wang,Johannes, System and method for handling load and/or store operations in a superscalar microprocessor.
  278. Senter Cheryl D. ; Wang Johannes, System and method for handling load and/or store operators in a superscalar microprocessor.
  279. Deosaran Trevor A. ; Garg Sanjiv ; Iadonato Kevin R., System and method for register renaming.
  280. Deosaran Trevor A. ; Garg Sanjiv ; Iadonato Kevin R., System and method for register renaming.
  281. Deosaran, Trevor A.; Garg, Sanjiv; Iadonato, Kevin R., System and method for register renaming.
  282. Deosaran, Trevor A.; Garg, Sanjiv; Iadonato, Kevin R., System and method for register renaming.
  283. Deosaran, Trevor A.; Garg, Sanjiv; Iadonato, Kevin R., System and method for register renaming.
  284. Deosaran, Trevor A.; Garg, Sanjiv; Iadonato, Kevin R., System and method for register renaming.
  285. Trevor A. Deosaran ; Sanjiv Garg ; Kevin R. Iadonato, System and method for register renaming.
  286. Johannes Wang ; Sanjiv Garg ; Trevor Deosaran, System and method for retiring approximately simultaneously a group of instructions in a superscalar microprocessor.
  287. Wang Johannes ; Garg Sanjiv ; Dcosaran Trevor, System and method for retiring approximately simultaneously a group of instructions in a superscalar microprocessor.
  288. Wang, Johannes; Garg, Sanjiv; Deosaran, Trevor, System and method for retiring approximately simultaneously a group of instructions in a superscalar microprocessor.
  289. Wang, Johannes; Garg, Sanjiv; Deosaran, Trevor, System and method for retiring approximately simultaneously a group of instructions in a superscalar microprocessor.
  290. Coon Brett ; Miyayama Yoshiyuki ; Nguyen Le Trong ; Wang Johannes, System and method for translating non-native instructions to native instructions for processing on a host processor.
  291. Coon, Brett; Miyayama, Yoshiyuki; Nguyen, Le Trong; Wang, Johannes, System and method for translating non-native instructions to native instructions for processing on a host processor.
  292. Coon, Brett; Miyayama, Yoshiyuki; Nguyen, Le Trong; Wang, Johannes, System and method for translating non-native instructions to native instructions for processing on a host processor.
  293. Coon,Brett; Miyayama,Yoshiyuki; Nguyen,Le Trong; Wang,Johannes, System and method for translating non-native instructions to native instructions for processing on a host processor.
  294. Christie David S., System and method of controlling access to privilege partitioned address space for a model specific register file.
  295. Tran Thang M. ; Meyer Derrick R., System and method using selection logic units to define stack orders.
  296. Petrick Bruce, System for simultaneously accessing one or more stack elements by multiple functional units using real stack addresses.
  297. Christie David S. ; Kranich Uwe,DEX, Transparent extended state save.
  298. Favor John G. ; Ben-Meir Amos ; Stapleton Warren G., Unified multi-function operation scheduler for out-of-order execution in a superscalar processor.
  299. Favor John G. ; Ben-Meir Amos ; Stapleton Warren G., Unified multi-function operation scheduler for out-of-order execution in a superscaler processor.
  300. Christie, David S.; McGrath, Kevin J., Uniform register addressing using prefix byte.
  301. Tran Thang M. ; Witt David B., Update unit for providing a delayed update to a branch prediction array.
  302. Pickett James K., Variable byte-length instructions using state of function bit of second byte of plurality of instructions bytes as indicative of whether first byte is a prefix byte.
  303. Tran Thang M., Way prediction logic for cache array.
  304. Tran Thang M., Way prediction logic for cache array.
  305. Mahalingaiah Rupaka ; Green Thomas S., Workload balancing in a microprocessor for reduced instruction dispatch stalling.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로