$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Vacuum processing apparatus 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B23K-009/00
출원번호 US-0110253 (1987-10-20)
우선권정보 JP-0037654 (1987-02-20)
발명자 / 주소
  • Ukai Katsumi (Fuchu JPX) Tsukada Tsutomu (Fuchu JPX) Ikeda Kouji (Fuchu JPX) Adachi Toshio (Fuchu JPX)
출원인 / 주소
  • Anelva Corporation (Tokyo JPX 03)
인용정보 피인용 횟수 : 178  인용 특허 : 11

초록

A vacuum processing apparatus comprising a load-lock chamber, a vacuum transferring chamber and a processing chamber respectively having evacuating systems for evacuating the respective chambers. The load-lock chamber has a first isolation valve for isolating and opening communication of the load-lo

대표청구항

A vacuum processing apparatus including a load-lock chamber, a vacuum transferring chamber and a processing chamber, respectively, having gas evacuating means, said load-lock chamber adapted to receive a plurality of substrates from outside of the apparatus, and feed therefrom the substrates into sa

이 특허에 인용된 특허 (11)

  1. Hazano Shigeki (Yokohama CA JPX) Shibagaki Masahiro (San Jose CA) Jyo Hidetaka (Sagamihara JPX) Sensui Reiichiro (Sagamihara JPX) Iwami Munenori (Yokohama JPX) Suzuki Noboru (Chigasaki JPX), Apparatus for producing semiconductor devices.
  2. Hijikata Isamu (Tokyo JPX) Uehara Akira (Yokohama JPX) Nakane Hisashi (Kawasaki JPX), Apparatus for the treatment of semiconductor wafers by plasma reaction.
  3. Uehara Akira (Yokohama JPX) Nakane Hisashi (Kawasaki JPX), Apparatus for the treatment of wafer materials by plasma reaction.
  4. Davis Cecil J. (Greenville TX) Spencer John E. (Plano TX) Hockersmith Dan T. (Garland TX) Hildenbrand Randall C. (Richardson TX) Brown Frederick W. (Colleyville TX) Kohan Stanford P. (Garland TX), Automated single slice powered load lock plasma reactor.
  5. Okano Haruo (Yokohama JPX) Yamazaki Takashi (Kawasaki JPX) Horiike Yasuhiro (Tokyo JPX) Horie Hiromichi (Yokosuka JPX), Dry etching apparatus using reactive ions.
  6. Yamamoto Shinichi (Yokohama JPX) Sumitomo Yasusuke (Yokohama JPX) Horiike Yasuhiro (Tokyo JPX) Shibagaki Masahiro (Hiratsuka JPX), Etching apparatus using a plasma.
  7. Bersin Richard L. (Orange CT), Method and apparatus for dry processing of substrates.
  8. Shibata Fumio (Kudamatsu JPX) Nagatomo Katsuaki (Kudamatsu JPX) Fukuhara Hidetomo (Kudamatsu JPX) Marumoto Gen (Kudamatsu JPX) Okudaira Sadayuki (Oume JPX), Method and apparatus for plasma process.
  9. Robinson Frederick J. (Scottsdale AZ) Tracy Clarence J. (Tempe AZ), Method for removing photoresist by hydrogen plasma.
  10. Maher Joseph A. (South Hamilton MA) Vowles E. John (Goffstown NH) Napoli Joseph D. (Winham NH) Zafiropoulo Arthur W. (Manchester MA) Miller Mark W. (Burlington MA), Quad processor.
  11. Gerlach Robert L. (Minnetonka MN) Seibel David D. (Lakeville MN) Miller Mark C. (Chanhassen MN), Sample transport system.

이 특허를 인용한 특허 (178)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Yasumitsu, Naoki; Hiraoka, Eiichi; Tomita, Yoshiyuki, Airtight processing apparatus, airtight processing method, and electron beam processing apparatus.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Ando Yasunori,JPX ; Onoda Masatoshi,JPX, Apparatus and method for processing substrate.
  9. Harumoto, Masahiko, Apparatus for and method of heat-treating film formed on surface of substrate.
  10. Park, Sang-Jun; Lee, Ho-Young; Lee, Chun-Woo, Apparatus for semiconductor processing.
  11. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  12. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  13. Lubomirsky, Dmitry, Chamber with flow-through source.
  14. Lubomirsky, Dmitry, Chamber with flow-through source.
  15. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  16. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  17. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  18. Kroeker, Tony R., Cluster tool process chamber having integrated high pressure and vacuum chambers.
  19. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  20. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartmentalized substrate processing chamber.
  21. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  22. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  23. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  24. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  25. Cannella Vincent D. (Birmingham MI), Continuous dry etching system.
  26. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  27. Raaijmakers Ivo ; Marohl Dan, Degassing method and apparatus.
  28. Shin Ho Seon ; Marohl Dan, Degassing method and apparatus.
  29. Raaijmakers Ivo ; Marohl Dan, Degassing method using simultaneous dry gas flux pressure and vacuum.
  30. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  31. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  32. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  33. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  34. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  35. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  36. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  37. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  38. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  39. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  40. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  41. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  42. Theriault Victor J. ; Ives Mark, Dual plate gas assisted heater module.
  43. Theriault Victor J. ; Ives Mark, Dual plate gas assisted heater module.
  44. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  45. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  46. Kagatsume Satoshi (Nirasaki JPX) Fukasawa Kazuo (Kofu JPX), Etching device, and etching method.
  47. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  48. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  49. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  50. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  51. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  52. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  53. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  54. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  55. Rigali, Louis A.; Hoffman, David E.; Wang, Keda; Smith, III, William F., High throughput plasma treatment system.
  56. Rigali,Louis A.; Hoffman,David E.; Wang,Keda; Smith, III,William F., High throughput plasma treatment system.
  57. Tyler, James Scott, High-speed symmetrical plasma treatment system.
  58. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  59. Walde Michael (Rodenbach DEX) Zeidler Peter (Hanau DEX) Domroese Dirk (Bispingen-Behringen DEX), Installation for charging and discharging substrates out of a vacuum tank.
  60. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  61. Kawaguchi, Mark Naoshi; Lo, Kin Pong; Hoogensen, Brett Christian; Wen, Sandy M.; Kim, Steven H., Integrated apparatus for efficient removal of halogen residues from etched substrates.
  62. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  63. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  64. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  65. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  66. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  67. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  68. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  69. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  70. Nozawa Toshihisa,JPX ; Horioka Keiji,JPX ; Hasegawa Isahiro,JPX, Magnetron plasma process apparatus.
  71. Vestal,Marvin L., Mass spectrometer system and method for matrix-assisted laser desorption measurements.
  72. Condrashoff, Robert S.; Fazio, James P.; Hoffman, David E.; Tyler, James S., Material handling system and method for a multi-workpiece plasma treatment system.
  73. Condrashoff, Robert Sergel; Fazio, James Patrick; Hoffman, David Eugene; Tyler, James Scott, Material handling system and methods for a multichamber plasma treatment system.
  74. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  75. Chen,B. Michelle; Shin,Ho Seon; Dordi,Yezdi; Morad,Ratson; Cheung,Robin, Method and apparatus for annealing copper films.
  76. Morad Ratson ; Shin Ho Seon ; Cheung Robin ; Kogan Igor, Method and apparatus for heating and cooling substrates.
  77. Morad, Ratson; Shin, Ho Seon; Cheung, Robin; Kogan, Igor, Method and apparatus for heating and cooling substrates.
  78. Ratson Morad ; Ho Seon Shin ; Robin Cheung ; Igor Kogan, Method and apparatus for heating and cooling substrates.
  79. Kobayashi,Yasuo, Method and apparatus for surface treatment.
  80. Kobayashi,Yasuo, Method and apparatus for surface treatment.
  81. Morad, Ratson; Shin, Ho Seon; Cheung, Robin; Kogan, Igor, Method for heating and cooling substrates.
  82. Diaz, Adauto; Nguyen, Andrew; Schwarz, Benjamin; Lim, Eu Jin; Lee, Jared Ahmad; Cruse, James P.; Zhang, Li; Williams, Scott M.; Zhuang, Xiaoliang; Li, Zhuang, Method for removing halogen-containing residues from substrate.
  83. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  84. Ko, Jungmin, Method of fin patterning.
  85. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  86. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  87. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  88. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  89. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  90. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  91. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  92. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  93. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  94. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  95. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  96. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  97. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  98. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  99. Ishizaka,Tadahiro, Plasma enhanced atomic layer deposition system having reduced contamination.
  100. Inazawa Koichiro,JPX ; Ishikawa Yoshio,JPX ; Asakawa Takashi,JPX ; Hiratsuka Masato,JPX ; Okayama Nobuyuki,JPX, Plasma etching apparatus.
  101. Soejima Yukio,JPX ; Katsura Toshimi,JPX ; Miyazawa Hideaki,JPX, Plasma processing apparatus.
  102. Koshiishi, Akira; Sugimoto, Masaru; Hinata, Kunihiko; Kobayashi, Noriyuki; Koshimizu, Chishio; Ohtani, Ryuji; Kibi, Kazuo; Saito, Masashi; Matsumoto, Naoki; Ohya, Yoshinobu; Iwata, Manabu; Yano, Daisuke; Yamazawa, Yohei; Hanaoka, Hidetoshi; Hayami, Toshihiro; Yamazaki, Hiroki; Sato, Manabu, Plasma processing apparatus and method.
  103. Koshiishi, Akira; Sugimoto, Masaru; Hinata, Kunihiko; Kobayashi, Noriyuki; Koshimizu, Chishio; Ohtani, Ryuji; Kibi, Kazuo; Saito, Masashi; Matsumoto, Naoki; Ohya, Yoshinobu; Iwata, Manabu; Yano, Daisuke; Yamazawa, Yohei; Hanaoka, Hidetoshi; Hayami, Toshihiro; Yamazaki, Hiroki; Sato, Manabu, Plasma processing apparatus and method.
  104. Kojima Hiroshi,JPX ; Tahara Yoshifumi,JPX ; Arai Izumi,JPX, Plasma processing method.
  105. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  106. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  107. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  108. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  109. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  110. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  111. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  112. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  113. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  114. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  115. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  116. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  117. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  118. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  119. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  120. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  121. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  122. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  123. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  124. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  125. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  126. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  127. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  128. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  129. Miller, Mark C., Sample introduction and transfer system and method.
  130. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  131. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  132. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  133. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  134. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  135. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  136. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  137. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  138. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  139. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  140. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  141. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  142. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  143. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  144. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  145. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  146. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  147. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  148. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  149. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  150. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  151. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  152. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  153. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  154. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  155. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  156. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  157. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  158. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  159. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  160. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  161. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  162. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  163. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  164. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  165. Theriault, Victor J.; Ives, Mark, Single substrate load lock with offset cool module and buffer chamber.
  166. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  167. Suda Atsuhiko,JPX ; Toyoda Kazuyuki,JPX ; Makiguchi Issei,JPX ; Ozawa Makoto,JPX, Substrate processing apparatus.
  168. Kudo, Hiroyuki; Okubo, Takahiro; Kubota, Minoru, Substrate processing unit.
  169. Rice, Michael R.; Reuter, Paul; Weaver, William (Ty); Morey, Travis; Schlimoff, Natan; Krivts (Krayvitz), Igor; Avneri, Israel; Uziel, Yoram; Admoni, Erez, System and method for forming a sealed chamber.
  170. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  171. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  172. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  173. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  174. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  175. Edwards Richard C., Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor.
  176. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  177. Baecker James J. ; Becker D. Scott ; Foline Michael J. ; Maciej Todd K., Vacuum compatible water vapor and rinse process module.
  178. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로