$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Remote plasma generation process using a two-stage showerhead 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-037/32
출원번호 US-0074371 (1987-07-16)
발명자 / 주소
  • Loewenstein Lee M. (Plano TX) Davis Cecil J. (Greenville TX)
출원인 / 주소
  • Texas Instruments Incorporated (Dallas TX 02)
인용정보 피인용 횟수 : 142  인용 특허 : 27

초록

A processing apparatus and method for performing a descum process (i.e. a process for removal of polymers and other organic residues) which uses a remote plasma, supplied through a distributor which includes a two-stage showerhead, to achieve improved results.

대표청구항

A method of treating a semiconductor wafer comprising: (a) transferring a wafer into a process vacuum chamber; (b) applying a pressure to said chamber less than ambient to maintain said chamber at less than ambient; (c) heating said wafer to an appropriate temperature; (d) providing an appropriate g

이 특허에 인용된 특허 (27)

  1. Allevato Tony E. (Stafford TX), Apparatus and method of material removal having a fluid filled slot.
  2. Titus Stephen D. (Houston TX), Apparatus and method of material removal with fluid flow within a slot.
  3. Sanjurjo Angel (San Jose CA), Apparatus for obtaining silicon from fluosilicic acid.
  4. Davis Cecil J. (Greenville TX) Carter Duane E. (Plano TX) Jucha Rhett B. (Celeste TX), Apparatus for plasma assisted etching.
  5. Oliver David W. (Schenectady NY) Trzaskos Casmir R. (Amsterdam NY), Bonded cathode and electrode structure with layered insulation, and method of manufacture.
  6. Price J. B. (Scottsdale AZ) Bunch Matthew L. (Phoenix AZ) Stitz Robert W. (Mesa AZ), CVD plasma reactor.
  7. Orcutt John W. (Garland TX) Hardin Richard M. (Dallas TX), Carrier for integrated circuit.
  8. Greenberg Charles B. (Murrysville PA), Chemical vapor deposition of vanadium oxide coatings.
  9. Thomas Patrick K. (Pflugerville TX) Hartman Dennis C. (Austin TX) Dockrey Jasper W. (Pflugerville TX), Dry etching of multi-layer structures.
  10. Tracy David H. (Norwalk CT) Smith Donald L. (Palo Alto CA), Electrode for plasma etching system.
  11. Yoshizawa Shuji (Tokyo JPX), Film-fabricating method and apparatus for the same.
  12. Walton Frank J. (Sunnyvale CA), Gas feed for reactive ion etch system.
  13. Reif L. Rafael (Brookline MA) Donahue Thomas J. (Cambridge MA) Burger Wayne R. (Belmont MA), Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition.
  14. Steinberg George N. (Westport CT) Reinberg Alan R. (Westport CT) Dalle Ave Jean (Stamford CT), High speed plasma etching system.
  15. Yip Kwok-Leung (Webster NY) Antos Ronald L. (Cincinnati OH) Herloski Robert P. (Rochester NY), High speed, high resolution raster output scanner.
  16. Whelan Paul L. (Dallas TX), Material handling system and method for manufacturing line.
  17. Bersin Richard L. (Orange CT), Method and apparatus for dry processing of substrates.
  18. Arai Tetsuji (Kobe JPX) Mimura Yoshiki (Yokohama JPX) Shimizu Hiroshi (Yokohama JPX), Method for heating semiconductor wafers by a light-radiant heating furnace.
  19. Wilson Ronald H. (Schenectady NY), Method for nucleating and growing tungsten films.
  20. Spencer John E. (Plano TX) Borel Richard A. (Garland TX) Linxwiler Kenneth E. (McKinney TX) Hoff Andrew M. (State College PA), Microwave apparatus for generating plasma afterglows.
  21. Purdes Andrew J. (Garland TX) Smith Gregory C. (Garland TX), Planarized dielectric deposited using plasma enhanced chemical vapor deposition.
  22. Tsang Chi-Hwa (Beaverton OR), Plasma etching of silicon using fluorinated gas mixtures.
  23. Brors Daniel L. (Los Altos Hills CA), Process for depositing a low resistivity tungsten silicon composite film on a substrate.
  24. Peters John W. (Malibu CA), Process for forming sulfide layers by photochemical vapor deposition.
  25. Sanjurjo Angel (San Jose CA), Process for obtaining silicon from fluosilicic acid.
  26. Head ; III Claude D. (Dallas TX), Segmented asynchronous operation of an automated assembly line.
  27. Bimer Thomas C. (Albuquerque NM) Creps Malvern L. (Plano TX) Millis Edwin G. (Dallas TX), Semiconductor slice cassette carrier.

이 특허를 인용한 특허 (142)

  1. Renn, Michael J.; Essien, Marcelino; King, Bruce H.; Paulsen, Jason A., Aerodynamic jetting of aerosolized fluids for fabrication of passive structures.
  2. Renn, Michael J.; King, Bruce H.; Paulsen, Jason A., Aerodynamic jetting of blended aerosolized materials.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. King, Bruce H.; Woolfson, Steven Barry; Ramahi, David H., Apparatus for anisotropic focusing.
  10. Derderian, Garo J.; Sandhu, Gurtej S., Apparatus for improved delivery of metastable species.
  11. Renn,Michael J.; King,Bruce H.; Essien,Marcelino; Hunter,Lemna J., Apparatuses and method for maskless mesoscale material deposition.
  12. Renn, Michael J.; King, Bruce H.; Essien, Marcelino; Marquez, Gregory J.; Giridharan, Manampathy G.; Sheu, Jyh-Cherng, Apparatuses and methods for maskless mesoscale material deposition.
  13. Renn, Michael J.; King, Bruce H.; Essien, Marcelino; Marquez, Gregory J.; Giridharan, Manampathy G.; Sheu, Jyh-Cherng, Apparatuses and methods for maskless mesoscale material deposition.
  14. Renn,Michael J.; King,Bruce H.; Essien,Marcelino; Marquez,Gregory J.; Giridharan,Manampathy G.; Sheu,Jyh Cherng, Apparatuses and methods for maskless mesoscale material deposition.
  15. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Lubomirsky, Dmitry, Chamber with flow-through source.
  18. Lubomirsky, Dmitry, Chamber with flow-through source.
  19. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  20. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  21. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  22. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  23. Degner, Raymond L.; Lenz, Eric H., Composite electrode for plasma processes.
  24. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  25. Derderian,Garo J.; Sandhu,Gurtej S., Deposition methods for improved delivery of metastable species.
  26. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  27. Renn,Michael J., Direct Write짰 System.
  28. Renn, Michael J.; King, Bruce H.; Giridharan, Manampathy G.; Sheu, Jyh-Cherng, Direct write# system.
  29. Renn,Michael J., Direct write짰 system.
  30. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  31. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  32. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  33. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  34. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  35. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  36. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  37. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  38. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  39. Hasebe, Kazuhide; Nakajima, Shigeru; Ogawa, Jun, Film formation method for forming silicon-containing insulating film.
  40. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  41. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  42. Renn, Michael J.; King, Bruce H., Forming structures using aerosol jet® deposition.
  43. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  44. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  45. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  46. Tolle, John; Goodman, Matthew G., Germanium oxide pre-clean module and process.
  47. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  48. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  49. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  50. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  51. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  52. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  53. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  54. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  55. Renn,Michael J.; King,Bruce H.; Essien,Marcelino; Giridharan,Manampathy G.; Sheu,Jyh Cherng, Laser processing for heat-sensitive mesoscale deposition.
  56. Renn, Michael J.; King, Bruce H.; Essien, Marcelino; Giridharan, Manampathy G.; Sheu, Jyh-Cherng, Laser processing for heat-sensitive mesoscale deposition of oxygen-sensitive materials.
  57. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  58. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  59. King, Bruce H.; Marquez, Gregory J.; Renn, Michael J., Mechanically integrated and closely coupled print head and mist source.
  60. King, Bruce H.; Marquez, Gregory James; Renn, Michael J., Mechanically integrated and closely coupled print head and mist source.
  61. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  62. Opocensky, Edward C.; Spurlin, Tighe A.; Reid, Jonathan D., Method and apparatus for characterizing metal oxide reduction.
  63. Spurlin, Tighe A.; Antonelli, George Andrew; Doubina, Natalia; Duncan, James E.; Reid, Jonathan D.; Porter, David, Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer.
  64. Christian Jehoul BE; Kristel Van Baekel BE; Werner Boullart BE; Herbert Struyf BE; Serge Vanhaelemeersch BE, Method for stripping ion implanted photoresist layer.
  65. Matsushita, Kiyohiro; Fukuda, Hideaki; Kagami, Kenichi, Method of cleaning UV irradiation chamber.
  66. Ko, Jungmin, Method of fin patterning.
  67. Nakata Rempei (Tokyo JPX) Itoh Hitoshi (Kunitachi JPX) Endo Takashi (Tokyo JPX) Watanabe Tohru (Yokohama JPX), Method of manufacturing semiconductor device using a hagolen plasma treatment step.
  68. Babayan,Steven E.; Hicks,Robert F., Method of processing a substrate.
  69. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  70. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  71. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  72. Basceri,Cem, Methods of gas delivery for deposition processes and methods of depositing material on a substrate.
  73. King, Bruce H., Miniature aerosol jet and aerosol jet array.
  74. King, Bruce H.; Renn, Michael J.; Paulsen, Jason A., Miniature aerosol jet and aerosol jet array.
  75. Essien, Marcelino; King, Bruce H., Multiple sheath multiple capillary aerosol jet.
  76. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  77. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  78. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  79. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  80. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  81. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  82. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  83. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  84. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  85. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  86. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  87. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  88. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  89. Buckalew, Bryan L.; Rea, Mark L., Pretreatment method for photoresist wafer processing.
  90. Buckalew, Bryan L.; Rea, Mark L., Pretreatment method for photoresist wafer processing.
  91. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  92. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  93. Scott, Robin Charis; Johnson, Matt, Process and apparatus for treating wafers.
  94. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  95. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  96. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  97. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  98. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  99. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  100. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  101. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  102. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  103. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  104. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  105. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  106. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  107. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  108. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  109. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  110. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  111. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  112. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  113. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  114. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  115. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  116. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  117. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  118. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  119. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  120. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  121. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  122. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  123. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  124. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  125. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  126. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  127. Hytros, Mark M.; Tran, Truc T.; Teoh, Hongbee; Lei, Lawrence Chung-Lai; Gelatos, Avgerinos; Umotoy, Salvador P., Showerhead assembly for a processing chamber.
  128. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  129. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  130. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  131. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  132. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  133. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  134. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  135. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  136. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  137. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  138. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  139. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  140. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  141. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  142. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.

관련 콘텐츠

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로