$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Modular wafer transport and processing system 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B65G-001/06
출원번호 US-0358461 (1989-05-26)
발명자 / 주소
  • Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA)
출원인 / 주소
  • Varian Associates, Inc. (Palo Alto CA 02)
인용정보 피인용 횟수 : 264  인용 특허 : 14

초록

A modular wafer processing machine is provided which is based on interconnected handling units having wafer handling arms. Each unit can pass a wafer to another unit in the same vacuum environment to a processing module.

대표청구항

A wafer transport and processing system comprising: a transport vacuum chamber having a first plurality of ports and a second plurality of ports, each of said ports of said first and said second pluralities communicating the interior of said chamber to the exterior of said chamber; valve means for o

이 특허에 인용된 특허 (14)

  1. Richards Edmond A. (Marlton NJ), Apparatus for conveying a semiconductor wafer.
  2. Tateishi Hideki (Yokohama JPX) Kamei Tsuneaki (Kanagawa JPX) Abe Katsuo (Yokosuka JPX) Kobayashi Shigeru (Kawasaki JPX) Aiuchi Susumu (Yokohama JPX) Nakatsukasa Masashi (Tama JPX) Takahashi Nobuyuki , Apparatus for performing continuous treatment in vacuum.
  3. Gallego JosM. (Ormskirk GB2), Apparatus for the deposition of multi-layer coatings.
  4. Uehara Akira (Yokohama JPX) Nakane Hisashi (Kawasaki JPX), Apparatus for the treatment of a wafer by plasma reaction.
  5. Hijikata Isamu (Tokyo JPX) Uehara Akira (Yokohama JPX) Nakane Hisashi (Kawasaki JPX), Apparatus for the treatment of semiconductor wafers by plasma reaction.
  6. Takahashi Nobuyuki (Tokyo JPX) Sugimoto Ryuji (Tokyo JPX) Shirai Yasuyuki (Tokyo JPX), Automatic loader.
  7. Jacoby Hans-Dieter (Werdorf DEX) Schmidt Peter (Huettenberg DEX), Device for automatically transporting disk shaped objects.
  8. Boys Donald R. (Cupertino CA) Graves Walter E. (San Jose CA), Disk or wafer handling and coating system.
  9. Ohnaka Makoto (Tsukui JPX), Jointed manipulator.
  10. Drobish James L. (Wyoming OH), Pump-type dispenser.
  11. Davis Cecil J. (Greenville TX) Matthews Robert (Plano TX) Hildenbrand Randall C. (Richardson TX), Vacuum processing system.
  12. Bednorz Johannes G. (Adliswil CHX) Gueret Pierre L. (Richterswil CHX) Nievergelt Hermann E. (Adliswil CHX) Ott Hanspeter (Thalwil CHX) Pohl Wolfgang D. (Adliswil CHX) Widmer Daniel F. (Hirzel CHX), Vacuum transfer device.
  13. Layman Frederick P. (Fremont CA) Hobson Phillip M. (Los Altos CA) Dick Paul H. (San Jose CA), Valve incorporating wafer handling arm.
  14. Koch George R. (Los Altos CA) Petersen ; III Carl T. (Fremont CA), Workpiece transfer mechanism.

이 특허를 인용한 특허 (264)

  1. Rachkov,Rossen Atanassov, Adjustable micro device feeder.
  2. Mori, Takao; Yamaguchi, Masaru; Kamiyama, Isao, Apparatus and method for manufacturing an organic electroluminescence display.
  3. Mori, Takao; Yamaguchi, Masaru; Kamiyama, Isao, Apparatus and method for manufacturing an organic electroluminescence display.
  4. Chen, Chen-An; Gelatos, Avgerinos; Yang, Michael X.; Xi, Ming; Hytros, Mark M., Apparatus and method for plasma assisted deposition.
  5. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  6. Slodowski,Matthias, Apparatus and method for thin-layer metrology.
  7. Kim, Sam H.; Hosokawa, Akihiro; Suh, Dong Choon, Apparatus and method for uniform substrate heating and contaminate collection.
  8. Yokomizo,Kenji, Apparatus and method of securing a workpiece during high-pressure processing.
  9. Ushijima Mitsuru (Tokyo JPX) Akimoto Masami (Kikuchi JPX), Apparatus for coating a photo-resist film and/or developing it after being exposed.
  10. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  11. Park, Jae Heon, Apparatus for processing wafers.
  12. Park,Jae Heon, Apparatus for processing wafers.
  13. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of a workpiece.
  14. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of multiple workpieces.
  15. Stevens, Craig L.; Levy, Karl B., Architecture for high throughput semiconductor processing applications.
  16. Stevens, Craig L.; Levy, Karl B., Architecture for high throughput semiconductor processing applications.
  17. Kroeker Tony R. ; Cook Larry, Atmospheric wafer transfer module with nest for wafer transport robot.
  18. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  19. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  20. George Gregory ; Peery Tim ; Consentino Timothy ; Kuhnle Michael ; Wright Seth ; Ziegler James, Automatic modular wafer substrate handling device.
  21. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  22. Reynolds Glyn J. ; Hillman Joseph T., Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system.
  23. Wood, Keith Freeman; Rodnick, Matthew Jonathon, Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates.
  24. Inagawa,Makoto; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  25. Shang, Quanyuan; Kardokus, Janine; Hosokawa, Akihiro, Chamber for uniform substrate heating.
  26. Shang,Quanyuan; Kardokus,Janine; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  27. Boyd Trace L. ; Beer Richard D. ; Terbeek Eric A. ; Wong Vernon W. H., Chamber interfacing O-rings and method for implementing same.
  28. Boyd Trace L. ; Beer Richard D. ; Terbeek Eric A. ; Wong Vernon W. H., Chamber interfacing O-rings and method for implementing same.
  29. Blake Julian G. ; Sferlazzo Piero ; Rose Peter H. ; Brailove Adam A., Control mechanisms for dosimetry control in ion implantation systems.
  30. Jones,William Dale, Control of fluid flow in the processing of an object with a fluid.
  31. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Conveying system for a vacuum processing apparatus.
  32. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  33. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  34. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  35. Law, Kam; Shang, Quanyuan; Harshbarger, William Reid; Maydan, Dan, Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications.
  36. Law,Kam; Shang,Quanyuan; Harshbarger,William Reid; Maydan,Dan, Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications.
  37. Yoon, Hyungsuk A.; Fang, Hongbin; Yang, Michael X., Deposition of tungsten films.
  38. Kurita, Shinichi; Blonigan, Wendell T., Double dual slot load lock chamber.
  39. Avi Tepman ; Donald J. K. Olgado ; Allen L. D'Ambra, Dual buffer chamber cluster tool for semiconductor wafer processing.
  40. Lei, Lawrence C.; Kori, Moris, Dual robot processing system.
  41. Kroeker Tony R. ; Mooring Benjamin W. ; Bright Nicolas J., Dual sided slot valve and method for implementing the same.
  42. Hughes John L. (Rodeo CA) Shula Thomas E. (Palo Alto CA) Rodriguez Carlos E. (Redwood City CA), Dual track handling and processing system.
  43. Kraus, Joseph Arthur; Strassner, James David, Dual wafer load lock.
  44. Chen,Ling; Chang,Mei, Enhancement of copper line reliability using thin ALD tan film to cap the copper line.
  45. Lill, Thorsten; Vahedi, Vahid; Kristoffersen, Candi; Bailey, III, Andrew D.; Shen, Meihua; Raghavan, Rangesh; Bultman, Gary, Equipment front end module for transferring wafers and method of transferring wafers.
  46. Johnson, Simon B.; Anderson, George Leland; Bolotin, Lev M.; Johnson, Bradley Morris; Knowles, Mark Sean; Olson, Carl W.; Warhol, Vincent, Feeder/programming/buffer control system and control method.
  47. Bradley Morris Johnson ; Lev M. Bolotin ; Simon B. Johnson ; Carl W. Olson ; Bryan D. Powell ; Janine Whan-Tong, Feeder/programming/buffer operating system.
  48. Bolotin, Lev M., Feeder/programming/loader system.
  49. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  50. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  51. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  52. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  53. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  54. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  55. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  56. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  57. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  58. Altwood Allen ; Colborne Kelly ; Fairbairn Kevin ; Lane Christopher ; Ponnekanti Hari K. ; Sundar Satish, Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding.
  59. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  60. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  61. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  62. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  63. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  64. Sheydayi,Alexei; Sutton,Thomas, Gate valve for plus-atmospheric pressure semiconductor process vessels.
  65. Jones, William D., High pressure fourier transform infrared cell.
  66. Biberger, Maximilian A.; Layman, Frederick Paul; Sutton, Thomas Robert, High pressure processing chamber for semiconductor substrate.
  67. Biberger,Maximilian A.; Layman,Frederick Paul; Sutton,Thomas Robert, High pressure processing chamber for semiconductor substrate.
  68. Stevens, Craig Lyle, High throughput architecture for semiconductor processing.
  69. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  70. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  71. Watanabe, Naoki; Abarra, Einstein Noel; Djayaprawira, David Djulianto; Kurematsu, Yasumi, Inline-type wafer conveyance device.
  72. Watanabe, Naoki; Abarra, Einstein Noel; Djayaprawira, David Djulianto; Kurematsu, Yasumi, Inline-type wafer conveyance device.
  73. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  74. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  75. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  76. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Integration of titanium and titanium nitride layers.
  77. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Integration of titanium and titanium nitride layers.
  78. Blake Julian G., Ion beam shield for implantation systems.
  79. Rose Peter H. ; Blake Julian G. ; Brailove Adam A. ; Yang Zhongmin ; McRay Richard F. ; Hughey Barbara J., Ion implantation system for implanting workpieces.
  80. Rose Peter H. ; Blake Julian G. ; Brailove Adam A. ; Yang Zhongmin ; McRay Richard F. ; Hughey Barbara J., Ion implantation system for implanting workpieces.
  81. Blake Julian G. ; Purser Kenneth H. ; Brailove Adam A. ; Rose Peter H. ; Hughey Barbara J., Large area uniform ion beam formation.
  82. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  83. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  84. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  85. Meulen, Peter van der, Linear semiconductor processing facilities.
  86. van der Meulen, Peter, Linear semiconductor processing facilities.
  87. Holtkamp, William; Kremerman, Izya; Hofmeister, Christopher; Pickreign, Richard, Linearly distributed semiconductor workpiece processing tool.
  88. Andreas Mages DE; Werner Scheler DE; Herbert Blaschitz DE; Alfred Schulz DE; Heinz Schneider DE, Loading and unloading station for semiconductor processing installations.
  89. Andreas Mages DE; Werner Scheler DE; Herbert Blaschitz DE; Alfred Schulz DE; Heinz Schneider DE, Loading and unloading station for semiconductor processing installations.
  90. Mages, Andreas; Scheler, Werner; Blaschitz, Herbert; Schulz, Alfred; Schneider, Heinz, Loading and unloading station for semiconductor processing installations.
  91. Mages, Andreas; Scheler, Werner; Blaschitz, Herbert; Schulz, Alfred; Schneider, Heinz, Loading and unloading station for semiconductor processing installations.
  92. Natzle, Wesley C.; Ahlgren, David C.; Barbee, Steven G.; Cantell, Marc W.; Jagannathan, Basanth; Lanzerotti, Louis D.; Subbanna, Seshadri; Wuthrich, Ryan W., Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling.
  93. Pratt, Thomas M.; McClelland, Scott Douglas; Stevens, Craig L.; Hopkins, Kerry, Magnetically coupled linear servo-drive mechanism.
  94. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Manufacturing method of a thin film semiconductor device.
  95. Bolotin, Lev M., Manufacturing system with feeder/programming/buffer system.
  96. Sheydayi,Alexei, Method and apparatus for clamping a substrate in a high pressure processing system.
  97. Goshi,Gentaro, Method and apparatus for cooling motor bearings of a high pressure pump.
  98. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer.
  99. Kaveh Farrokh, Method and apparatus for positioning substrates.
  100. James Botelho ; Leo V. Klos, Method and apparatus for shielding a valve gate and other valve parts.
  101. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method and apparatus for supercritical processing of multiple workpieces.
  102. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  103. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method and system for controlling the presence of fluorine in refractory metal layers.
  104. Sinha,Ashok; Xi,Ming; Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  105. Parent,Wayne M.; Goshi,Gentaro, Method and system for cooling a pump.
  106. Parent,Wayne M., Method and system for determining flow conditions in a high pressure processing system.
  107. Parent, Wayne M.; Geshell, Dan R., Method and system for passivating a processing chamber.
  108. Hansen,Brandon; Lowe,Marie, Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid.
  109. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  110. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  111. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  112. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  113. Kawamura,Kohei; Asano,Akira; Miyatani,Koutarou; Hillman,Joseph T.; Palmer,Bentley, Method for supercritical carbon dioxide processing of fluoro-carbon films.
  114. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method for supercritical processing of multiple workpieces.
  115. Iwama Tatsuyuki,JPX, Method of controlling monitor used in cleaning machine and object processing machine and monitor apparatus.
  116. Biberger, Maximilian A.; Schilling, Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  117. Biberger,Maximilian A.; Schilling,Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  118. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Method of manufacturing a semiconductor device.
  119. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Method of manufacturing a semiconductor device.
  120. Kanefumi Nakahara JP, Method of manufacturing exposure apparatus and method for exposing a pattern on a mask onto a substrate.
  121. Biberger,Maximilian Albert; Layman,Frederick Paul; Sutton,Thomas Robert, Method of supercritical processing of a workpiece.
  122. van der Meulen,Peter, Methods and systems for handling a workpiece in vacuum-based material handling system.
  123. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  124. Lai,Ken Kaung; Rajagopalan,Ravi; Khandelwal,Amit; Moorthy,Madhu; Gandikota,Srinivas; Castro,Joseph; Gelatos,Averginos V.; Knepfler,Cheryl; Jian,Ping; Fang,Hongbin; Huang,Chao Ming; Xi,Ming; Yang,Michael X.; Chung,Hua; Byun,Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  125. Kroeker, Tony R.; Tomasch, Gregory A., Methods of implementing a single shaft, dual cradle vacuum slot valve.
  126. Leveen Lindsay, Microelectronic component fabrication facility, and process for making and using the facility.
  127. van der Meulen, Peter, Mid-entry load lock for semiconductor handling system.
  128. Swain Eugene A. (Webster NY), Modular apparatus for cleaning, coating and curing photoreceptors in a dual planetary array.
  129. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  130. Tepman Avi, Monolith processing system platform.
  131. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  132. Edwards Richard C. ; Zielinski Marian, Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor.
  133. Sheydayi,Alexei, Non-contact shuttle valve for flow diversion in high pressure systems.
  134. Emmi Peter A. ; Park Byeongju, Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer.
  135. Mitchell Weiss, Person-guided vehicle.
  136. King Michael C. ; Blake Julian G. ; Rose Peter H., Plasma chamber for controlling ion dosage in ion implantation.
  137. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  138. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  139. Sheydayi,Alexei, Pressure energized pressure vessel opening and closing device and method of providing therefor.
  140. Fairbairn Kevin ; Ponnekanti Hari K., Process chamber exhaust system.
  141. Todd Craig B. ; Yu James E., Process chamber lid.
  142. Kim Daehwan D., Process chamber tray.
  143. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  144. Wuester,Christopher D., Process flow thermocouple.
  145. Keiichi Tanaka JP; Shinsuke Asao JP; Masahito Ozawa JP; Masaki Sohma JP, Process system with transfer unit for object to be processed.
  146. Akimoto Masami,JPX ; Ueda Issei,JPX, Processing system.
  147. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  148. Lu,Xinliang; Jian,Ping; Yoo,Jong Hyun; Lai,Ken Kaung; Mak,Alfred W.; Jackson,Robert L.; Xi,Ming, Pulsed nucleation deposition of tungsten layers.
  149. Komino Mitsuaki,JPX, Reduced pressure and normal pressure treatment apparatus.
  150. Mullee, William H.; de Leeuwe, Marc; Roberson, Jr., Glenn A., Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process.
  151. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  152. Hosek, Martin; Hofmeister, Christopher, Robot having arm with unequal link lengths.
  153. Hosek, Martin; Hofmeister, Christopher, Robot having arm with unequal link lengths.
  154. Hosek, Martin; Hofmeister, Christopher, Robot having arm with unequal link lengths and non-circular pulley.
  155. Hosek, Martin, Robot having two arms with unequal link lengths.
  156. Tepolt Gary B., Robotic wafer handler.
  157. van der Meulen, Peter, Semiconductor manufacturing systems.
  158. Mooring Benjamin W. ; Bright Nicolas J., Semiconductor processing platform architecture having processing module isolation capabilities.
  159. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  160. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  161. van der Meulen, Peter; Kiley, Christopher C.; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling transport.
  162. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  163. Kroeker, Tony R.; Tomasch, Gregory A., Single shaft, dual cradle vacuum slot valve.
  164. Hasper,Albert; Nooten,Sebastiaan Eliza; Hendriks,Menso, Sorting/storage device for wafers and method for handling thereof.
  165. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in a vaccum tank.
  166. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in vacuum tank.
  167. Birkner, Andreas; Hiltawski, Knut; Urban, Karsten; Wienecke, Joachim, Substrate conveying module and system made up of substrate conveying module and workstation.
  168. Gilchrist, Ulysses, Substrate loading and unloading station with buffer.
  169. Gilchrist, Ulysses; Beaulieu, David R.; Van der Meulen, Peter F., Substrate loading and unloading station with buffer.
  170. Gilchrist, Ulysses; Beaulieu, David R.; Van der Meulen, Peter F., Substrate loading and unloading station with buffer.
  171. Gilchrist, Ulysses; Beaulieu, David R.; Van Der Meulen, Peter, Substrate loading and uploading station with buffer.
  172. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  173. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  174. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  175. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  176. Hosek, Martin; Hofmeister, Christopher; Krupyshev, Alexander, Substrate processing apparatus.
  177. Rosenblum, Martin Philip, Substrate processing apparatus.
  178. Wada, Takashi, Substrate processing apparatus.
  179. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Substrate processing apparatus and a manufacturing method of a thin film semiconductor device.
  180. Shunpei Yamazaki JP; Hisashi Ohtani JP; Hiroyuki Shimada JP; Mitsunori Sakama JP; Hisashi Abe JP; Satoshi Teramoto JP, Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device.
  181. Aoyama, Masaaki, Substrate processing apparatus for coating photoresist on a substrate and forming a predetermined pattern on a substrate by exposure.
  182. Akimoto Masami,JPX ; Ueda Issei,JPX, Substrate processing apparatus having an interface section including two stacked substrate waiting table.
  183. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  184. Gale,Glenn; Hillman,Joseph T.; Jacobson,Gunilla; Palmer,Bentley, System and method for processing a substrate using supercritical carbon dioxide processing.
  185. Akimoto Masami,JPX ; Ogawa Shizuo,JPX ; Nagano Toshihiko,JPX, System for processing a plurality of objects contained in a plurality of cassettes.
  186. Fairbairn, Kevin; Barzilai, Jessica; Ponnekanti, Hari K.; Taylor, W. N. (Nick), Tandem process chamber.
  187. Larson Dean Jay ; Sutton Thomas R., Tolerance resistant and vacuum compliant door hinge with open-assist feature.
  188. Tepman Avi, Transfer chamber.
  189. Kurita, Shinichi; Beer, Emanuel; Nguyen, Hung T.; Blonigan, Wendell T., Transfer chamber for vacuum processing system.
  190. Komino, Mitsuaki, Transfer module and cluster system for semiconductor manufacturing process.
  191. Boyd Trace L. ; Terbeek Eric A., Transport chamber and method for making same.
  192. Heinrich, Harald; Osterreich, Peter; Recklies, Jorg; Odrich, Falk; Welzk, Andre, Transport system and method for controlling the transport system.
  193. Strasser Gregor (Vaduz LIX) Zhrer Gerald (Weite CHX) Schertler Roman (Wolfurt ATX) Fischer Heinrich (Triesen LIX), Transport system for conveying workpiece between first and second media.
  194. Jacobson,Gunilla; Yellowaga,Deborah, Treatment of a dielectric layer using supercritical CO.
  195. Kevwitch, Robert, Treatment of substrate using functionalizing agent in supercritical carbon dioxide.
  196. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  197. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Tungsten nitride atomic layer deposition processes.
  198. Tepman Avi ; Lowrance Robert B., Two-piece slit valve insert for vacuum processing system.
  199. Edwards Richard C., Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor.
  200. Miller Richard F. (10905 Eureka St. Boca Raton FL 33428), Ultraclean robotic material transfer method.
  201. Tomasch,Gregory A., Unitary slot valve actuator with dual valves.
  202. Tomasch,Gregory A., Unitary slot valve actuator with dual valves.
  203. Garza,Frederico; Wright,Michael; Peterson,Karl, Utilization of an ion gauge in the process chamber of a semiconductor ion implanter.
  204. Wagner Rudolf (Fontnas CHX) Martin Bader (Balzers LIX) Eberhard Moll (Schellenberg LIX) Zanardo Renzo (Balzers LIX) Van Agtmaal J. G. (Hilversum NLX), Vacuum apparatus.
  205. Boyd Trace L. (San Jose CA) Yeoman Martin F. (San Ramon CA), Vacuum chamber gate valve and method for making same.
  206. Sheydayi,Alexei, Vacuum chuck utilizing sintered material and method of providing thereof.
  207. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method.
  208. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method.
  209. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method using a vacuum chamber.
  210. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method with wafers, substrates and/or semiconductors.
  211. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus.
  212. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus.
  213. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  214. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  215. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  216. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  217. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  218. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  219. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  220. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  221. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  222. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  223. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  224. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  225. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  226. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  227. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  228. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  229. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  230. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  231. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  232. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  233. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  234. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  235. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  236. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  237. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  238. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method therefor.
  239. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method therefor.
  240. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  241. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  242. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  243. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  244. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  245. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  246. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  247. Ikeda, Jiro; Takizawa, Yoji, Vacuum processing device.
  248. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing equipment configuration.
  249. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing equipment configuration.
  250. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  251. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  252. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  253. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  254. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  255. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  256. Otwell Robert ; Nering Eric A. ; Lossberg Bryan Von, Wafer aligner in center of front end frame of vacuum system.
  257. Nering Eric A. ; Perlov Ilya ; Gantvarg Eugene ; Belitsky Victor, Wafer cassette load station.
  258. Hillman Gary, Wafer handling method and apparatus.
  259. Kato Tomoo,JPX ; Nirei Tatsuo,JPX, Wafer inspecting apparatus and method.
  260. Robinson Keith ; Villa Robert, Wafer manual handpick station.
  261. Adachi Hideki,JPX ; Izumi Akira,JPX, Wet/dry substrate processing apparatus.
  262. Price, John H.; Bock, Dravida, Workpiece holder for workpiece transport apparatus.
  263. Makino, Yosuke; Okamura, Yuji; Oda, Hiroyuki, Workpiece inspection equipment.
  264. Price, John H.; Bock, Dravida, Workpiece transport and positioning apparatus.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로