$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Three-dimensional integrated circuit and manufacturing method thereof 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/12
  • H01L-023/52
  • H01L-027/10
출원번호 US-0325122 (1989-03-17)
우선권정보 JP-0062981 (1986-03-20); JP-0116470 (1986-05-20)
발명자 / 주소
  • Kato Takashi (Sagamihara JPX) Taguchi Masao (Sagamihara JPX)
출원인 / 주소
  • Fujitsu Limited (Kawasaki JPX 03)
인용정보 피인용 횟수 : 336  인용 특허 : 6

초록

The present invention is directed to a three-dimensional stacked IC and a method for forming a three-dimensional stacked IC on a base plate. The three-dimensional stacked IC includes a unit semiconductor IC, which has constituent ICs formed on either one surface or on both surfaces of a substrate. I

대표청구항

A semiconductor integrated circuit comprising: a plurality of unit semiconductor integrated circuits stacked together, each of said unit semiconductor integrated circuits comprising: a substrate having first and second surfaces, said second surface having a smooth mirror finish; an integrated circui

이 특허에 인용된 특허 (6)

  1. Honn ; James J. ; Stuby ; Kenneth P., Electrical package for LSI devices and assembly process therefor.
  2. Joy Richard C. (Beacon NY) Kemlage Bernard M. (Kingston NY) Mauer ; IV John L. (South Kent CT), Isolation for high density integrated circuits.
  3. Reid Lee R. (Plano TX), Method for fabricating a semiconductor contact and interconnect structure using orientation dependent etching and thermo.
  4. Morimoto Kiyoshi (Mobara JPX) Takagi Toshinori (Nagaokakyo JPX), Semiconductor device.
  5. Okumura Katsuya (Yokohama JPX), Semiconductor device having stacking structure.
  6. Shibata Tadashi (Yokohama JPX), Stacked semiconductor device with sloping sides.

이 특허를 인용한 특허 (336)

  1. Enquist, Paul M.; Fountain, Jr., Gaius Gillman; Tong, Qin-Yi, 3D IC method and device.
  2. Enquist, Paul M.; Fountain, Jr., Gaius Gillman; Tong, Qin-Yi, 3D IC method and device.
  3. Or-Bach, Zvi; Wurman, Ze'ev, 3D integrated circuit with logic.
  4. Sekar, Deepak C.; Or-Bach, Zvi; Cronquist, Brian, 3D memory semiconductor device and structure.
  5. Or-Bach, Zvi, 3D semiconductor device.
  6. Or-Bach, Zvi, 3D semiconductor device.
  7. Or-Bach, Zvi; Wurman, Zeev, 3D semiconductor device.
  8. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, 3D semiconductor device and structure.
  9. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, 3D semiconductor device and structure.
  10. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, 3D semiconductor device and structure.
  11. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk, 3D semiconductor device and structure.
  12. Sekar, Deepak; Or-Bach, Zvi; Cronquist, Brian, 3D semiconductor device and structure.
  13. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; Wurman, Ze'ev; Lim, Paul, 3D semiconductor device and structure with back-bias.
  14. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak C.; Wurman, Zeev; Beinglass, Israel, 3D semiconductor device having two layers of transistors.
  15. Or-Bach, Zvi; Wurman, Ze'ev, 3D semiconductor device including field repairable logics.
  16. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Wurman, Zeev, 3D semiconductor device, fabrication method and system.
  17. Or-Bach, Zvi; Widjaja, Yuniarto, 3DIC system with a two stable state memory and back-bias region.
  18. Leedy, Glenn J, Adjacent substantially flexible substrates having integrated circuits that are bonded together by non-polymeric layer.
  19. Lin, Chu-Fu; Kuo, Chien-Li; Yang, Ching-Li, Anti-fuse structure and programming method thereof.
  20. Andry, Paul S.; Cotte, John M.; Knickerbocker, John U.; Tsang, Cornelia K., Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers.
  21. Andry, Paul S.; Cotte, John M.; Knickerbocker, John U.; Tsang, Cornelia K., Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers.
  22. Leedy,Glenn J, Apparatus and methods for maskless pattern generation.
  23. Robinson, Marc E.; Vindasius, Alfons; Almen, Donald; Jacobsen, Larry, Assembly having stacked die mounted on substrate.
  24. Vindasius, Al; Robinson, Marc E.; Jacobsen, Larry; Almen, Donald, Assembly having stacked die mounted on substrate.
  25. Or-Bach, Zvi; Wurman, Zeev, Automation for monolithic 3D devices.
  26. Gates, Stephen M.; Edelstein, Daniel C.; Nitta, Satyanarayana V., BEOL structures incorporating active devices and mechanical strength.
  27. Gates, Stephen M.; Edelstein, Daniel C.; Nitta, Satyanarayana V., BEOL structures incorporating active devices and mechanical strength.
  28. Stuber, Michael A.; Molin, Stuart B., Back-to-back stacked integrated circuit assembly.
  29. Stuber, Michael A.; Molin, Stuart B., Back-to-back stacked integrated circuit assembly and method of making.
  30. Gates, Stephen M; Edelstein, Daniel C.; Nitta, Satyanarayana V., Beol structures incorporating active devices and mechanical strength.
  31. Lee, Sang-Yun, Bonded semiconductor structure and method of fabricating the same.
  32. Lee, Sang-Yun, Bonded semiconductor structure and method of making the same.
  33. Farooq, Mukta G.; Li, Zhengwen; Luo, Zhijiong; Zhu, Huilong, Bonded structure employing metal semiconductor alloy bonding.
  34. Farooq, Mukta G.; Li, Zhengwen; Luo, Zhijiong; Zhu, Huilong, Bonded structure employing metal semiconductor alloy bonding.
  35. Allman Derryl D. J., Bonding of silicon wafers.
  36. Allman, Derryl D. J., Bonding of silicon wafers.
  37. Liu, Fei; Young, Albert M.; Yu, Roy R., Bow-balanced 3D chip stacking.
  38. Ahn, Kie Y.; Forbes, Leonard, Buried ground plane for high performance system modules.
  39. Christensen Todd Alan ; Sheets ; II John Edward, Buried patterned conductor planes for semiconductor-on-insulator integrated circuit.
  40. Lin, Yung-Chang; Kuo, Chien-Li, Capacitor structure and method of forming the same.
  41. Pogge, H. Bernhard; Yu, Roy; Prasad, Chandrika; Narayan, Chandrasekhar, Chip and wafer integration process using vertical connections.
  42. Bertin Claude Louis ; Cronin John Edward, Chip function separation onto separate stacked chips.
  43. Grassl,Thomas, Circuit suitable for vertical integration and method of producing same.
  44. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Coaxial integrated circuitry interconnect lines, and integrated circuitry.
  45. Zhang, Guobiao, Compact three-dimensional mask-programmed read-only memory.
  46. Zhang, Guobiao, Compact three-dimensional memory.
  47. Enquist, Paul M., Conductive barrier direct hybrid bonding.
  48. Enquist, Paul M., Conductive barrier direct hybrid bonding.
  49. Crotzer David R. ; Goodwin Jonathan W. ; Michaud Arthur G. ; DeDonato David A., Conductive elastomers and methods for fabricating the same.
  50. Ahn Kie Y., Conductive lines, coaxial lines, integrated circuitry, and methods of forming conductive lines, coaxial lines, and integrated circuitry.
  51. Ahn, Kie Y., Conductive lines, coaxial lines, integrated circuitry, and methods of forming conductive lines, coaxial lines, and integrated circuitry.
  52. Ahn, Kie Y., Conductive lines, coaxial lines, integrated circuitry, and methods of forming conductive lines, coaxial lines, and integrated circuitry.
  53. Beilin Solomon I. ; Chou William T. ; Kudzuma David ; Lee Michael G. ; Peters Michael G. ; Roman James J. ; Swamy Som S. ; Wang Wen-chou Vincent ; Moresco Larry L. ; Murase Teruo, Controlled impedance interposer substrate and method of making.
  54. Beilin Solomon I. ; Chou William T. ; Kudzuma David ; Lee Michael G. ; Peters Michael G. ; Roman James J. ; Swamy Som S. ; Wang Wen-chou Vincent ; Moresco Larry L. ; Murase Teruo, Controlled impedence interposer substrate.
  55. Robinson, Marc E.; Vindasius, Alfons; Almen, Donald; Jacobsen, Larry, Die assembly having electrical interconnect.
  56. Zhang, Guobiao, Discrete three-dimensional memory.
  57. Zhang, Guobiao, Discrete three-dimensional memory.
  58. Zhang, Guobiao, Discrete three-dimensional memory comprising dice with different BEOL structures.
  59. Zhang, Guobiao, Discrete three-dimensional memory comprising off-die address/data translator.
  60. Zhang, Guobiao, Discrete three-dimensional memory comprising off-die read/write-voltage generator.
  61. Zhang, Guobiao, Discrete three-dimensional one-time-programmable memory.
  62. Zhang, Guobiao, Discrete three-dimensional vertical memory.
  63. Zhang, Guobiao, Discrete three-dimensional vertical memory.
  64. Zhang, Guobiao, Discrete three-dimensional vertical memory comprising off-die address/data-translator.
  65. Zhang, Guobiao, Discrete three-dimensional vertical memory comprising off-die voltage generator.
  66. Konevecki, Michael W.; Raghuram, Usha; Mahajani, Maitreyee; Kumar, Tanmay; Nallamothu, Sucheta; Walker, Andrew J., Doped polysilicon via connecting polysilicon layers.
  67. Bernstein, Kerry; Dalton, Timothy Joseph; Gambino, Jeffrey Peter; Jaffe, Mark David; Kartschoke, Paul David; Luce, Stephen Ellinwood; Stamper, Anthony Kendall, Double-sided integrated circuit chips.
  68. Bernstein, Kerry; Dalton, Timothy; Gambino, Jeffrey P.; Jaffe, Mark D.; Kartschoke, Paul D.; Luce, Stephen E.; Stamper, Anthony K., Double-sided integrated circuit chips.
  69. Bernstein, Kerry; Dalton, Timothy; Gambino, Jeffrey Peter; Jaffe, Mark David; Kartschoke, Paul David; Luce, Stephen Ellinwood; Stamper, Anthony Kendall, Double-sided integrated circuit chips.
  70. Bernstein, Kerry; Dalton, Timothy; Gambino, Jeffrey Peter; Jaffe, Mark David; Kartschoke, Paul David; Luce, Stephen Ellinwood; Stamper, Anthony Kendall, Double-sided integrated circuit chips.
  71. Bernstein, Kerry; Dalton, Timothy; Gambino, Jeffrey Peter; Jaffe, Mark David; Kartschoke, Paul David; Luce, Stephen Ellinwood; Stamper, Anthony Kendall, Double-sided integrated circuit chips.
  72. Kawai,Hideyuki, Electrical device with a plurality of thin-film device layers.
  73. Yamamoto, Yuki; Harada, Jun; Takagi, Hiroshi; Hirayama, Katsuro, Electronic component including a shielding metal film disposed on a resin layer.
  74. Lee, Chun-Che; Su, Yuan-Chang; Lee, Ming Chiang; Huang, Shih-Fu, Embedded component device and manufacturing methods thereof.
  75. Lin, Chin-Fu; Wu, Chun-Yuan; Liu, Chih-Chien; Tsai, Teng-Chun; Chien, Chin-Cheng, Fabrication method and structure of through silicon via.
  76. Jones, Robert E.; Pozder, Scott K., Fabrication of three dimensional integrated circuit employing multiple die panels.
  77. Leedy, Glenn J, Flexible and elastic dielectric integrated circuit.
  78. Andideh, Ebrahim; Isenberger, Mark; Leeson, Michael; Rahnama, Mani, Forming ferroelectric polymer memories.
  79. Gaynes Michael Anthony ; Emerick Alan James ; Puligandla Viswanadham ; Woychik Charles Gerard ; Zalesinski Jerzy Maria, High density integrated circuit packaging with chip stacking and via interconnections.
  80. Gaynes Michael Anthony ; Emerick Alan James ; Puligandla Viswanadham ; Woychik Charles Gerard ; Zalesinski Jerzy Maria, High density integrated circuit packaging with chip stacking and via interconnections.
  81. Gaynes Michael Anthony ; Emerick Alan James ; Puligandla Viswanadham ; Woychik Charles Gerard ; Zalesinski Jerzy Maria, High density integrated circuit packaging with chip stacking and via interconnections.
  82. Kie Y. Ahn ; Leonard Forbes ; Paul Farrar, High performance packaging for microprocessors and DRAM chips which minimizes timing skews.
  83. Pillalamarri, Sunil K.; Li, Chenghong, High-temperature, spin-on, bonding compositions for temporary wafer bonding using sliding approach.
  84. Lee, Sang-Yun, Information storage system which includes a bonded semiconductor structure.
  85. Stuber, Michael A.; Molin, Stuart B.; Drucker, Mark; Fowler, Peter, Integrated circuit assembly and method of making.
  86. Zeber Kenneth Arthur (Oakland Park FL), Integrated circuit chip formed from processing two opposing surfaces of a wafer.
  87. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Integrated circuit device and structure.
  88. Gardner Mark I. ; Kadosh Daniel ; Duane Michael, Integrated circuit including a graded grain structure for enhanced transistor formation and fabrication method thereof.
  89. Gardner Mark I. ; Kadosh Daniel ; Duane Michael, Integrated circuit including an oxide-isolated localized substrate and a standard silicon substrate and fabrication meth.
  90. Siniaguine, Oleg, Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate.
  91. Ahn Kie Y., Integrated circuitry and methods of forming integrated circuitry.
  92. Kie Y. Ahn, Integrated circuitry having conductive passageway interconnecting circuitry on front and back surfaces of a wafer fragment.
  93. Siniaguine, Oleg, Integrated circuits and methods for their fabrication.
  94. Siniaguine, Oleg, Integrated circuits and methods for their fabrication.
  95. Chen, Chun-Hung; Lin, Ming-Tse; Lin, Yung-Chang; Kuo, Chien-Li, Integrated structure and method for fabricating the same.
  96. Kuo, Chien-Li, Interposer structure and manufacturing method thereof.
  97. Bischoff Peter G. ; Stovall Ross W, Interposer with embedded circuitry and method for using the same to package microelectronic units.
  98. Adamic ; Jr. Fred W., Inverted dielectric isolation process.
  99. Furman, Bruce K.; Purushothaman, Sampath; Sankarapandian, Muthumanickam; Topol, Anna, Layer transfer process and functionally enhanced integrated circuits produced thereby.
  100. Leedy, Glenn J, Lithography device for semiconductor circuit pattern generation.
  101. Leedy,Glenn J, Lithography device for semiconductor circuit pattern generator.
  102. Tiwari, Sandip, Low temperature semiconductor layering and three-dimensional electronic circuits using the layering.
  103. Zhang, Guobiao, Manufacturing methods of JFET-type compact three-dimensional memory.
  104. Zhang, Guobiao, Manufacturing methods of MOSFET-type compact three-dimensional memory.
  105. Zhang, Guobiao, Mask-programmed read-only memory with reserved space.
  106. Leedy,Glenn J, Membrane 3D IC fabrication.
  107. Leedy,Glenn J, Membrane 3D IC fabrication.
  108. Leedy,Glenn J, Membrane 3D IC fabrication.
  109. Leedy, Glenn J, Membrane IC fabrication.
  110. Willer, Josef; Reisinger, Hans; Schlosser, Till; Stengl, Reinhard, Memory cell configuration with capacitor on opposite surface of substrate and method for fabricating the same.
  111. Nenyei, Zsolt; Timans, Paul J.; Lerch, Wilfried; Niess, Jüergen; Falter, Manfred; Schmid, Patrick; O'Carroll, Conor Patrick; Cardema, Rudy; Fidelman, Igor; Tay, Sing-Pin; Hu, Yao Zhi; Devine, Daniel J., Method and system for thermally processing a plurality of wafer-shaped objects.
  112. Or-Bach, Zvi; Wurman, Zeev, Method for design and manufacturing of a 3D semiconductor device.
  113. Or-Bach, Zvi, Method for developing a custom device.
  114. Or-Bach, Zvi; Sekar, Deepak C., Method for fabricating novel semiconductor and optoelectronic devices.
  115. Lu, Yen-Liang; Lin, Chun-Ling; Hsu, Chi-Mao; Lin, Chin-Fu; Chen, Chun-Hung; Cheng, Tsun-Min; Tsai, Meng-Hong, Method for fabricating through-silicon via structure.
  116. Cronquist, Brian; Beinglass, Isreal; de Jong, Jan Lodewijk; Sekar, Deepak C.; Or-Bach, Zvi, Method for fabrication of a semiconductor device and structure.
  117. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Isreal; de Jong, Jan Lodewijk; Sekar, Deepak C., Method for fabrication of a semiconductor device and structure.
  118. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Method for fabrication of a semiconductor device and structure.
  119. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk, Method for fabrication of a semiconductor device and structure.
  120. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk, Method for fabrication of a semiconductor device and structure.
  121. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk, Method for fabrication of a semiconductor device and structure.
  122. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Wurman, Ze'ev, Method for fabrication of a semiconductor device and structure.
  123. Sekar, Deepak; Or-Bach, Zvi; Cronquist, Brian, Method for fabrication of a semiconductor device and structure.
  124. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk, Method for fabrication of configurable systems.
  125. Kuo, Chien-Li; Lin, Yung-Chang, Method for forming semiconductor device with through silicon via.
  126. Yang, Ching-Li; Kuo, Chien-Li; Chiang, Chung-Sung; Tsai, Yu-Han; Kang, Chun-Wei, Method for forming semiconductor structure having through silicon via for signal and shielding structure.
  127. Vindasius Alfons ; Sautter Kenneth M., Method for forming vertical interconnect process for silicon segments with dielectric isolation.
  128. Vindasius Alfons ; Sautter Kenneth M., Method for forming vertical interconnect process for silicon segments with thermally conductive epoxy preform.
  129. Tsao, Wei-Che; Hsu, Chia-Lin; Lin, Jen-Chieh; Tsai, Teng-Chun; Hsu, Hsin-Kuo; Hsieh, Ya-Hsueh; Huang, Ren-Peng; Chen, Chih-Hsien; Lin, Wen-Chin; Hsieh, Yung-Lun, Method for manufacturing through-silicon via.
  130. Liu, Hung-Ming, Method for testing through-silicon-via (TSV) structures.
  131. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Beinglass, Israel; Wurman, Ze'ev; Lim, Paul, Method of constructing a semiconductor device and structure.
  132. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Isreal; de Jong, Jan Lodewijk; Sekar, Deepak C., Method of fabricating a semiconductor device and structure.
  133. Zhang, Jian-Jun; Fang, Han-Chuan; Shu, Xiao-Wei; Zhang, Jian-Dong; Liu, Yan-Jun; Zhang, Miao, Method of fabricating isolation structure.
  134. Reif,Rafael; Fan,Andy, Method of forming a multi-layer semiconductor structure having a seamless bonding interface.
  135. Reif,Rafael; Chen,Kuan Neng; Tan,Chuan Seng; Fan,Andy, Method of forming a multi-layer semiconductor structure incorporating a processing handle member.
  136. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian; Wurman, Ze'ev, Method of forming three dimensional integrated circuit devices using layer transfer technique.
  137. Leedy,Glenn J, Method of information processing using three dimensional integrated circuits.
  138. Or-Bach, Zvi; Widjaja, Yuniarto, Method of maintaining a memory state.
  139. Ramm Peter (Pfaffenhofen DEX) Buchner Reinhold (Unterfohring DEX), Method of making a three-dimensional integrated circuit.
  140. Ramm Peter,DEX ; Buchner Reinhold,DEX, Method of making a three-dimensional integrated circuit.
  141. Leedy,Glenn J, Method of making an integrated circuit.
  142. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Method of manufacturing a semiconductor device and structure.
  143. Sekar, Deepak C.; Or-Bach, Zvi, Method of manufacturing a semiconductor device with two monocrystalline layers.
  144. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, J. L.; Sekar, Deepak C.; Lim, Paul, Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer.
  145. Anderson, Brent A.; Ellis-Monaghan, John J.; Loiseau, Alain; Peterson, Kirk D., Method of manufacturing dual orientation wafers.
  146. Hayashi, Mitsuaki; Nakaya, Shuji, Method of manufacturing semiconductor integrated circuit device.
  147. Chen, Hsin-Yu; Tsai, Yu-Han; Lin, Chun-Ling; Yang, Ching-Li; Cheng, Home-Been, Method of manufacturing semiconductor structure.
  148. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, Method of processing a semiconductor device.
  149. Ramm, Peter; Klumpp, Armin, Method of vertically integrating electric components by means of back contacting.
  150. Or-Bach, Zvi; Wurman, Zeev, Method to construct a 3D semiconductor device.
  151. Or-Bach, Zvi; Wurman, Ze'ev, Method to construct systems.
  152. Or-Bach, Zvi; Wurman, Ze'ev, Method to form a 3D semiconductor device.
  153. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian, Method to form a 3D semiconductor device and structure.
  154. Lin, Yu-Ling; Yen, Hsiao-Tsung; Kuo, Chin-Wei; Jeng, Min-Chie, Methods and apparatus for transmission lines in packages.
  155. Basker, Veeraraghaven S.; Cotte, John Michael; Deligianni, Hariklia; Knickerbocker, John Ulrich; Kwietniak, Keith T., Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density.
  156. Leedy,Glenn J, Methods for maskless lithography.
  157. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Methods of forming coaxial integrated circuitry interconnect lines.
  158. Boyd, John; Redeker, Fritz; Dordi, Yezdi; Yoon, Hyungsuk Alexander; Li, Shijian, Methods of post-contact back end of the line through-hole via integration.
  159. Vindasius,Al; Robinson,Marc; Jacobsen,Larry; Almen,Donald, Micropede stacked die component assembly.
  160. Zhang, Guobiao, Mixed three-dimensional printed memory.
  161. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C., Monolithic three-dimensional semiconductor device and structure.
  162. Zhang, Guobiao, Multi-bit-per-cell three-dimensional one-time-programmable memory.
  163. Reif,Rafael; Checka,Nisha; Chandrakasan,Anantha, Multi-layer integrated semiconductor structure having an electrical shielding portion.
  164. Hans Gude Gudesen NO; Per-Erik Nordal NO, Multilayer matrix-addressable logic device with a plurality of individually matrix-addressable and stacked thin films of an active material.
  165. Zhang, Guobiao, Offset-printing method for three-dimensional package.
  166. Zhang, Guobiao, Offset-printing method for three-dimensional printed memory.
  167. Zhang, Guobiao, Offset-printing method for three-dimensional printed memory with multiple bits-per-cell.
  168. Kuo, Chien-Li; Lin, Yung-Chang; Lin, Ming-Tse, Package structure having silicon through vias connected to ground potential.
  169. Tsai, Chih-Sheng; Wang, Chung-Hsing, Pad structure for 3D integrated circuit.
  170. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  171. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  172. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  173. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  174. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  175. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chip.
  176. Lin, Mou-Shiung; Lee, Jin-Yuan, Post passivation interconnection schemes on top of IC chips.
  177. Lasky, Jerome B.; Nowak, Edward J.; Sprogis, Edmund J., Power distribution design method for stacked flip-chip packages.
  178. Lasky, Jerome B.; Nowak, Edward J.; Sprogis, Edmund J., Power distribution design method for stacked flip-chip packages.
  179. Ubaldo Mastromatteo IT; Fabrizio Ghironi IT; Roberto Aina IT; Mauro Bombonati IT, Process of manufacturing a composite structure for electrically connecting a first body of semiconductor material overlaid by a second body of semiconductor material.
  180. Bertin Claude Louis ; Cronin John Edward, Programmable logic array.
  181. Crotzer David R. (Windham NH), Resilient electrical interconnect.
  182. Tong, Qin-Yi; Enquist, Paul M.; Rose, Anthony Scot, Room temperature metal direct bonding.
  183. Tong, Qin-Yi; Enquist, Paul M.; Rose, Anthony Scot, Room temperature metal direct bonding.
  184. Tong, Qin-Yi; Enquist, Paul M.; Rose, Anthony Scot, Room temperature metal direct bonding.
  185. Sekar, Deepak C.; Or-Bach, Zvi, Self aligned semiconductor device and structure.
  186. Or-Bach, Zvi; Lim, Paul; Sekar, Deepak C., Semiconductor and optoelectronic devices.
  187. Or-Bach, Zvi; Sekar, Deepak, Semiconductor and optoelectronic devices.
  188. Or-Bach, Zvi; Sekar, Deepak C., Semiconductor and optoelectronic devices.
  189. Lee, Sang-Yun, Semiconductor bonding and layer transfer method.
  190. Kim, Jong Hoon, Semiconductor chip and stacked semiconductor package having the same.
  191. Eigner, Markus; Gruber, Wolfgang; Roth, Manfred; Ruping, Stefan, Semiconductor chip arrangement.
  192. Oh, ChoonSik; Lee, Sang-Yun, Semiconductor circuit.
  193. Oh, ChoonSik; Sang-Yun, Lee, Semiconductor circuit and method of fabricating the same.
  194. Lee, Sang-Yun, Semiconductor circuit structure and method of forming the same using a capping layer.
  195. Lee, Sang-Yun, Semiconductor circuit structure and method of making the same.
  196. Fujii, Nobutoshi; Kagawa, Yoshihisa, Semiconductor device.
  197. Fujii, Nobutoshi; Kagawa, Yoshihisa, Semiconductor device.
  198. Ito, Kiyoto; Saen, Makoto; Kuroda, Yuki, Semiconductor device.
  199. Kuo, Chien-Li; Lin, Yung-Chang, Semiconductor device.
  200. Nemoto,Yoshihiko; Tanida,Kazumasa; Takahashi,Kenji, Semiconductor device.
  201. Zudock, Frank; Meyer, Thorsten; Brunnbauer, Markus; Wolter, Andreas, Semiconductor device.
  202. Akimoto, Kengo, Semiconductor device and manufacturing method thereof.
  203. Akimoto, Kengo, Semiconductor device and manufacturing method thereof.
  204. Sunamura, Hiroshi; Kaneko, Kishou; Hayashi, Yoshihiro, Semiconductor device and manufacturing method thereof.
  205. Sunamura, Hiroshi; Kaneko, Kishou; Hayashi, Yoshihiro, Semiconductor device and manufacturing method thereof.
  206. Sunamura, Hiroshi; Kaneko, Kishou; Hayashi, Yoshihiro, Semiconductor device and manufacturing method thereof.
  207. Suga,Tadatomo, Semiconductor device and method for fabricating the device.
  208. Suga,Tadatomo, Semiconductor device and method for fabricating the device.
  209. Yamazaki Shunpei (Tokyo JPX) Takemura Yasuhiko (Kanagawa JPX) Mase Akira (Aichi JPX) Uochi Hideki (Kanagawa JPX), Semiconductor device and method for forming the same.
  210. Shibata,Kazutaka, Semiconductor device and method for manufacturing the same.
  211. Nemoto,Yoshihiko; Tanida,Kazumasa; Takahashi,Kenji, Semiconductor device and method of manufacturing the same.
  212. Yokoyama, Takashi, Semiconductor device and solid-state imaging device with tantalum oxide layer formed by diffusing a material of an electrode of necessity or a counter electrode.
  213. Or-Bach, Zvi, Semiconductor device and structure.
  214. Or-Bach, Zvi, Semiconductor device and structure.
  215. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  216. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  217. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  218. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  219. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  220. Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  221. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C., Semiconductor device and structure.
  222. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C.; Lim, Paul, Semiconductor device and structure.
  223. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C.; Wurman, Zeev, Semiconductor device and structure.
  224. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, Semiconductor device and structure.
  225. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, Semiconductor device and structure.
  226. Or-Bach, Zvi; Cronquist, Brian; Sekar, Deepak, Semiconductor device and structure.
  227. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Semiconductor device and structure.
  228. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian, Semiconductor device and structure.
  229. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian, Semiconductor device and structure.
  230. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian; Lim, Paul, Semiconductor device and structure.
  231. Or-Bach, Zvi; Widjaja, Yuniarto; Sekar, Deepak C., Semiconductor device and structure.
  232. Or-Bach, Zvi; Wurman, Zeev, Semiconductor device and structure.
  233. Sekar, Deepak C.; Or-Bach, Zvi, Semiconductor device and structure.
  234. Sekar, Deepak C.; Or-Bach, Zvi, Semiconductor device and structure.
  235. Sekar, Deepak C; Or-Bach, Zvi; Lim, Paul, Semiconductor device and structure.
  236. Sekar, Deepak; Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure.
  237. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Semiconductor device and structure for heat removal.
  238. Sekar, Deepak C.; Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure for heat removal.
  239. Sekar, Deepak; Or-Bach, Zvi; Cronquist, Brian, Semiconductor device and structure for heat removal.
  240. Lee, Meng-Tsung; Lai, Yi-Che; Chiu, Shih-Kuang, Semiconductor device having conductive vias.
  241. Lee, Meng-Tsung; Lai, Yi-Che; Chiu, Shih-Kuang, Semiconductor device having conductive vias.
  242. Kuo, Chien-Li; Lin, Yung-Chang; Lin, Ming-Tse; Wu, Kuei-Sheng; Lin, Chia-Fang, Semiconductor device having through silicon trench shielding structure surrounding RF circuit.
  243. Imaoka Toshikazu,JPX ; Imai Nobuaki,JPX, Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films.
  244. Fanelli, Stephen A., Semiconductor device with self-aligned back side features.
  245. Ito, Kiyoto; Saen, Makoto; Kuroda, Yuki, Semiconductor device with stacked memory and processor LSIs.
  246. Yokoyama, Takashi, Semiconductor device, solid-state imaging device with tantalum oxide layer formed by diffusing a material of an electrode of necessity or a counter electrode.
  247. Knorr, Andreas, Semiconductor devices and methods of manufacture thereof.
  248. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian, Semiconductor devices and structures.
  249. Or-Bach, Zvi; Wurman, Zeev, Semiconductor devices and structures.
  250. Lin, Yi-Tang; Wann, Clement Hsingjen, Semiconductor logic circuits fabricated using multi-layer structures.
  251. Lee, Sang-Yun, Semiconductor memory device.
  252. Tasaka Kazuhiro,JPX, Semiconductor memory device and fabrication thereof.
  253. Yamazaki, Shunpei; Koyama, Jun; Kato, Kiyoshi, Semiconductor storage device with integrated capacitor and having transistor overlapping sections.
  254. Chen, Chun-Hung; Lin, Ming-Tse; Kuo, Chien-Li; Wu, Kuei-Sheng, Semiconductor structure.
  255. Li, Tzung-Lin; Wu, Chun-Chang; Tseng, Chih-Yu, Semiconductor structure and method for reducing noise therein.
  256. Or-Bach, Zvi; Sekar, Deepak C.; Cronquist, Brian; Wurman, Zeev, Semiconductor system and device.
  257. Or-Bach, Zvi; Sekar, Deepak; Cronquist, Brian; Wurman, Ze'ev, Semiconductor system and device.
  258. Sekar, Deepak; Or-Bach, Zvi; Cronquist, Brian, Semiconductor system, device and structure with heat removal.
  259. Nygaard, Paul A.; Molin, Stuart B; Stuber, Michael A; Aubain, Max, Semiconductor-on-insulator with back side heat dissipation.
  260. Nygaard, Paul A.; Molin, Stuart B.; Stuber, Michael A., Semiconductor-on-insulator with back side strain inducing material.
  261. Nygaard, Paul A.; Molin, Stuart B.; Stuber, Michael A.; Aubain, Max, Semiconductor-on-insulator with back side strain topology.
  262. Molin, Stuart B.; Nygaard, Paul A.; Stuber, Michael A., Semiconductor-on-insulator with back side support layer.
  263. Hamel, Harvey; Knickerbocker, John Ulrich; McKnight, Samuel; Patel, Chirag S., Silicon carrier space transformer and temporary chip attach burn-in vehicle for high density connections.
  264. David V. Pedersen ; Michael G. Finley ; Kenneth M. Sautter, Silicon segment programming apparatus and three terminal fuse configuration.
  265. Pedersen David V. ; Finley Michael G. ; Sautter Kenneth M., Silicon segment programming method.
  266. Pedersen David V. (Scotts Valley CA) Finley Michael G. (Cambria CA) Sautter Kenneth M. (Sunnyvale CA), Silicon segment programming method and apparatus.
  267. Zhang, Guobiao, Small-grain three-dimensional memory.
  268. Zhang, Guobiao; Yu, Bin; Yu, HongYu; He, Jin; Kang, JinFeng; Liu, ZhiWei, Small-grain three-dimensional memory.
  269. Chen, Chia-Ching; Ding, Yi-Chuan, Stackable semiconductor package and manufacturing method thereof.
  270. Vindasius,Al; Robinson,Marc; Jacobsen,Larry; Almen,Donald, Stacked die BGA or LGA component assembly.
  271. Leedy, Glenn J., Stacked integrated memory device.
  272. Leedy, Glenn J, Stress-controlled dielectric integrated circuit.
  273. Leedy, Glenn Joseph, Stress-controlled dielectric integrated circuit.
  274. Mastromatteo, Ubaldo; Ghironi, Fabrizio; Aina, Roberto; Bombonati, Mauro, Structure for electrically connecting a first body of semiconductor material overlaid by a second body of semiconductor material composite structure using electric connection structure.
  275. Lin, Chu-Fu; Lin, Ming-Tse; Lin, Yung-Chang, Substrate with integrated passive devices and method of manufacturing the same.
  276. Steenkiste, Filip Van; Baert, Kris; Gumbrecht, Walter; Arquint, Philippe, System and a method for plating of a conductive pattern.
  277. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, J. L.; Sekar, Deepak C., System comprising a semiconductor device and structure.
  278. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C.; Wurman, Zeev, System comprising a semiconductor device and structure.
  279. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C.; Wurman, Zeev, System comprising a semiconductor device and structure.
  280. Or-Bach, Zvi; Cronquist, Brian; Beinglass, Israel; de Jong, Jan Lodewijk; Sekar, Deepak C.; Wurman, Zeev, System comprising a semiconductor device and structure.
  281. Kadosh Daniel ; Gardner Mark I. ; Hause Frederick N., Thin film resistor and fabrication method thereof.
  282. Stuber, Michael A.; Molin, Stuart B.; Drucker, Mark; Fowler, Peter, Thin integrated circuit chip-on-board assembly.
  283. Leedy, Glenn J, Three dimension structure memory.
  284. Bartley, Gerald K.; Johnson, Charles L.; Thornton, Mark M.; Varekamp, Patrick R., Three dimensional chip fabrication.
  285. Leedy, Glenn J, Three dimensional memory structure.
  286. Leedy, Glenn J, Three dimensional memory structure.
  287. Leedy, Glenn J, Three dimensional multi layer memory and control logic integrated circuit structure.
  288. Vindasius, Al; Robinson, Marc, Three dimensional six surface conformal die coating.
  289. Leedy,Glenn J, Three dimensional structure integrated circuit.
  290. Leedy, Glenn J, Three dimensional structure memory.
  291. Leedy, Glenn J, Three dimensional structure memory.
  292. Leedy, Glenn J, Three dimensional structure memory.
  293. Leedy, Glenn J., Three dimensional structure memory.
  294. Leedy, Glenn J., Three dimensional structure memory.
  295. Leedy, Glenn J., Three dimensional structure memory.
  296. Leedy, Glenn J., Three dimensional structure memory.
  297. Leedy, Glenn J., Three dimensional structure memory.
  298. Leedy, Glenn J., Three dimensional structure memory.
  299. Leedy, Glenn J., Three dimensional structure memory.
  300. Leedy,Glenn J, Three dimensional structure memory.
  301. Leedy,Glenn J., Three dimensional structure memory.
  302. Zhang, Guobiao, Three-dimensional 3D-oP-based package.
  303. Shimoda, Tatsuya; Inoue, Satoshi, Three-dimensional device.
  304. Lee, Sang-Yun, Three-dimensional integrated circuit structure.
  305. Zhang, Guobiao, Three-dimensional memory comprising an integrated intermediate-circuit die.
  306. Zhang, Guobiao, Three-dimensional memory comprising discrete read/write-voltage generator die.
  307. Zhang, Guobiao, Three-dimensional offset-printed memory.
  308. Zhang, Guobiao, Three-dimensional offset-printed memory with multiple bits-per-cell.
  309. Zhang, Guobiao; Yu, HongYu; Deng, RangYu; Shen, Chen; Yu, Bin; Lu, XiangDong; Kang, JinFeng; Wang, XuGuang; Zhang, DongYun; Zhan, ChenChang, Three-dimensional one-time-programmable memory comprising off-die address/data-translator.
  310. Zhang, Guobiao, Three-dimensional one-time-programmable memory comprising off-die read/write-voltage generator.
  311. Parks Howard L. (Los Gatos CA) Piatt Terence D. (Pacifica CA), Three-dimensional package for semiconductor devices.
  312. Shih, Chian-Gauh; Lu, Hsin-Pang, Three-dimensional system-on-chip structure.
  313. Zhang, Guobiao, Three-dimensional vertical memory comprising dice with different interconnect levels.
  314. Zhang, Guobiao, Three-dimensional vertical one-time-programmable memory.
  315. Zhang, Guobiao, Three-dimensional writable printed memory.
  316. Huang, Kuo-Hsiung; Chiou, Chun-Mao; Chen, Hsin-Yu; Tsai, Yu-Han; Yang, Ching-Li; Cheng, Home-Been, Through silicon via and method of forming the same.
  317. Huang, Kuo-Hsiung; Chiou, Chun-Mao; Chen, Hsin-Yu; Tsai, Yu-Han; Yang, Ching-Li; Cheng, Home-Been, Through silicon via and method of forming the same.
  318. Kuo, Chien-Li; Chen, Chun-Hung; Lin, Ming-Tse; Lin, Yung-Chang, Through silicon via and process thereof.
  319. Chen, Hsin-Yu; Cheng, Home-Been; Tsai, Yu-Han; Yang, Ching-Li, Through silicon via structure.
  320. Chen, Hsin-Yu; Cheng, Home-Been; Tsai, Yu-Han; Yang, Ching-Li, Through silicon via structure and method of fabricating the same.
  321. Tsai, Teng-Chun; Wu, Chun-Yuan; Lin, Chin-Fu; Liu, Chih-Chien; Chien, Chin-Cheng, Through-silicon via forming method.
  322. Kadosh Daniel ; Gardner Mark I. ; Duane Michael, Ultra-high-density pass gate using dual stacked transistors having a gate structure with planarized upper surface in rel.
  323. Davidson Howard L., Ultrathin electronics using stacked layers and interconnect vias.
  324. Pedersen David V. ; Finley Michael G. ; Sautter Kenneth M., Vertical interconnect process for silicon segments.
  325. Pedersen David V. ; Finley Michael G. ; Sautter Kenneth M., Vertical interconnect process for silicon segments.
  326. Vindasius Alfons ; Sautter Kenneth M., Vertical interconnect process for silicon segments with dielectric isolation.
  327. Vindasius Alfons ; Sautter Kenneth M., Vertical interconnect process for silicon segments with thermally conductive epoxy preform.
  328. Leedy, Glenn J, Vertical system integration.
  329. Leedy, Glenn J, Vertical system integration.
  330. Leedy,Glenn J., Vertical system integration.
  331. Lauterbach Christl,DEX ; Weber Werner,DEX, Vertically integrated semiconductor component.
  332. Lee, Sang Yun, Wafer bonding method.
  333. Kub,Francis; Hobart,Karl, Wafer bonding of thinned electronic materials and circuits to high performance substrate.
  334. Hunt, John Richard, Wafer level semiconductor package and manufacturing methods thereof.
  335. Hunt, John Richard, Wafer level semiconductor package and manufacturing methods thereof.
  336. Edelstein, Daniel C.; Gates, Stephen M.; Muralidhar, Ramachandran; Theis, Thomas N., Wiring switch designs based on a field effect device for reconfigurable interconnect paths.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로