$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

MIS transistor structure for increasing conductance between source and drain regions 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/78
출원번호 US-0374293 (1989-06-30)
우선권정보 JP-0164152 (1988-07-01)
발명자 / 주소
  • Shirasaki Masahiro (Kawasaki JPX)
출원인 / 주소
  • Fujitsu Limited (Kawasaki JPX 03)
인용정보 피인용 횟수 : 169  인용 특허 : 1

초록

A metal-insulator-semiconductor transistor comprises an insulator layer, a semiconductor body provided on the insulator layer and comprising a source region, a drain region and a channel region extending in a first direction between and interconnecting the source region and the drain region, a gate

대표청구항

A metal-insulator-semiconductor transistor, comprising: a substrate having a main surface; a semiconductor body provided on the substrate and having first and second regions doped to a first conductivity type and defining respective source and drain regions and a third region extending in a first di

이 특허에 인용된 특허 (1)

  1. Takafuji Yutaka (Nara JPX) Nonomura Keisaku (Nara JPX) Takechi Sadatoshi (Tenri JPX) Wada Tomio (Nara JPX), Thin film transistor.

이 특허를 인용한 특허 (169)

  1. Forbes Leonard ; Noble Wendell P., Another technique for gated lateral bipolar transistors.
  2. Hofmann, Franz; Rosner, Wolfgang; Luyken, Richard Johannes, Bar-type field effect transistor and method for the production thereof.
  3. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  4. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  5. Noble Wendell P. ; Forbes Leonard, Circuit and method for gate-body structures in CMOS technology.
  6. Noble Wendell P. ; Forbes Leonard, Circuit and method for gate-body structures in CMOS technology.
  7. Noble Wendell P. ; Forbes Leonard, Circuit and method for gate-body structures in CMOS technology.
  8. Forbes Leonard ; Noble Wendell P., Circuit and method for low voltage, current sense amplifier.
  9. Forbes Leonard ; Noble Wendell P., Circuit and method for low voltage, current sense amplifier.
  10. Noble Wendell P. ; Forbes Leonard, Circuit and method for low voltage, voltage sense amplifier.
  11. Noble Wendell P. ; Forbes Leonard, Circuit and method for low voltage, voltage sense amplifier.
  12. Noble Wendell P. ; Forbes Leonard, Circuits and method for body contacted and backgated transistors.
  13. Forbes Leonard ; Noble Wendell P., Circuits and methods for dual-gated transistors.
  14. Leonard Forbes ; Wendell P. Noble, Circuits and methods for dual-gated transistors.
  15. Chan, Philip Ching Ho; Chan, Man Sun; Wu, Xusheng; Zhang, Shengdong, Complementary metal-oxide-semiconductor transistor structure for high density and high performance integrated circuits.
  16. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  17. Adkisson, James W.; Bracchitta, John A.; Ellis-Monaghan, John J.; Lasky, Jerome B.; Leobandung, Effendi; Peterson, Kirk D.; Rankin, Jed H., Double planar gated SOI MOSFET structure.
  18. James W. Adkisson ; John A. Bracchitta ; John J. Ellis-Monaghan ; Jerome B. Lasky ; Effendi Leobandung ; Kirk D. Peterson ; Jed H. Rankin, Double planar gated SOI MOSFET structure.
  19. Yu, Bin, Double-gate vertical MOSFET transistor and fabrication method.
  20. Yagishita, Atsushi; Saito, Tomohiro; Iinuma, Toshihiko, Dynamic threshold voltage metal insulator field effect transistor.
  21. Atsushi Yagishita JP; Tomohiro Saito JP; Toshihiko Iinuma JP, Dynamic threshold voltage metal insulator semiconductor effect transistor.
  22. Kugler,Thomas; Berggren,Magnus; Remonen,Tommi; Malmström,Anna Ingalill; Knuthammar,Björn; Norberg,Petronella, Electrochemical device.
  23. Iwanaga, Junko; Takagi, Takeshi; Kanzawa, Yoshihiko; Sorada, Haruyuki; Saitoh, Tohru; Kawashima, Takahiro, FINFET-type semiconductor device and method for fabricating the same.
  24. Kim,Sungmin; Li,Ming; Yoon,Eungjung, Field effect transistor (FET) having wire channels and method of fabricating the same.
  25. Lee,Choong Ho; Yoon,Jae Man; Park,Dong Gun; Lee,Chul, Field effect transistor and method for manufacturing the same.
  26. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  27. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  28. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  29. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  30. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  31. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  32. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  33. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  34. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  35. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Field effect transistors having multiple stacked channels.
  36. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Field effect transistors having multiple stacked channels.
  37. Enders,Gerhard; Fischer,Bjoern; Schneider,Helmut; Voigt,Peter, Field-effect transistor.
  38. Fried, David M.; Nowak, Edward J.; Rainey, Beth A; Sadana, Devendra K., Fin FET devices from bulk semiconductor and method for forming.
  39. Hofmann,Franz; Kretz,Johannes; Roesner,Wolfgang; Schulz,Thomas, Fin Field-effect transistor and method for producing a fin field effect-transistor.
  40. Hofmann, Franz; Landgraf, Erhard; Luyken, Richard Johannes, Fin field effect transistor arrangement and method for producing a fin field effect transistor arrangement.
  41. Zhao, Jie; Zeng, Yizhi, Fin field-effct transistors.
  42. Zhao, Jie; Zeng, Yizhi, Fin field-effect transistors and fabrication method thereof.
  43. Buynoski,Matthew S.; An,Judy Xilin; Yu,Bin, FinFET device with multiple channels.
  44. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, FinFET device with multiple fin structures.
  45. Lin, Ming-Ren; Wang, Haihong; Yu, Bin, FinFET device with multiple fin structures.
  46. Sonsky, Jan; Heringa, Anco, FinFET transistor with high-voltage capability and CMOS-compatible method for fabricating the same.
  47. Krivokapic, Zoran; An, Judy Xilin; Buynoski, Matthew S., FinFET-based SRAM cell.
  48. Xiang,Qi; Besser,Paul R.; Ngo,Minh Van; Paton,Eric N.; Wang,Haihong, Fully depleted strained semiconductor on insulator transistor and method of making the same.
  49. Nowak,Edward J., High-density FinFET integration scheme.
  50. Nowak, Edward J., High-density finFET integration scheme.
  51. Chen, Chia-Yu; Liu, Zuoguang; Yamashita, Tenko; Yeh, Chun-Chen, Implantation formed metal-insulator-semiconductor (MIS) contacts.
  52. Lee, Dong Seup; Palacios, Tomas Apostol, Improving linearity in semiconductor devices.
  53. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  54. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  55. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  56. Maly, Wojciech P., Integrated circuit device, system, and method of fabrication.
  57. Romano, Linda T.; Chen, Jian, Large area nanoenabled macroelectronic substrates and uses therefor.
  58. Romano, Linda T.; Chen, Jian, Large-area nanoenabled macroelectronic substrates and uses therefor.
  59. Anderson, Brent A.; Nowak, Edward J., Low-capacitance contact for long gate-length devices with small contacted pitch.
  60. Anderson, Brent A.; Nowak, Edward J., Low-capacitance contact for long gate-length devices with small contacted pitch.
  61. Anderson,Brent A.; Nowak,Edward J., Low-capacitance contact for long gate-length devices with small contacted pitch.
  62. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  63. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  64. Adkisson, James W.; Agnello, Paul D.; Ballantine, Arne W.; Putnam, Christopher S.; Rankin, Jed H., Method and structure of a dual/wrap-around gate field effect transistor.
  65. Yang,Kuo Nan; Chen,Yi Lang; Chen,Hou Yu; Yang,Fu Liang; Hu,Chenming, Method for fabricating a body contact in a Finfet structure and a device including the same.
  66. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  67. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  68. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  69. Buynoski, Matthew S.; An, Judy Xilin; Yu, Bin, Method for forming channels in a finfet device.
  70. Lin, Ming-Ren; Wang, Haihong; Yu, Bin, Method for forming structures in finfet devices.
  71. Kakoschke, Ronald, Method for producing an integrated field-effect transistor.
  72. Shah,Uday; Doyle,Brian S.; Brask,Justin K.; Chau,Robert S., Method of fabricating a multi-cornered film.
  73. Chen,Haur Ywh; Chen,Fang Cheng; Chan,Yi Ling; Yang,Kuo Nan; Yang,Fu Liang; Hu,Chenming, Method of fabricating a necked FINFET device.
  74. Wendell P. Noble ; Leonard Forbes, Method of fabricating body contacted and backgated transistors.
  75. Kim,Sungmin; Li,Ming; Yoon,Eungjung, Method of fabricating field effect transistor (FET) having wire channels.
  76. Adkisson,James W.; Agnello,Paul D.; Ballantine,Arne W.; Divakaruni,Rama; Jones,Erin C.; Nowak,Edward J.; Rankin,Jed H., Method of fabricating semiconductor side wall fin.
  77. Adkisson,James W.; Agnello,Paul D.; Ballantine,Arne W.; Divakaruni,Rama; Jones,Erin C.; Nowak,Edward J.; Rankin,Jed H., Method of fabricating semiconductor side wall fin.
  78. Adkisson,James W.; Agnello,Paul D.; Ballantine,Arne W.; Divakaruni,Rama; Jones,Erin C.; Nowak,Edward J.; Rankin,Jed H., Method of fabricating semiconductor side wall fin.
  79. Doyle,Brian S.; Datta,Suman; Kavalieros,Jack T.; Majumdar,Amlan, Method of ion implanting for tri-gate devices.
  80. Yu, Bin, Method of locally forming a silicon/geranium channel layer.
  81. Lee,Chul; Yoon,Jae Man; Lee,Choong Ho, Method of manufacturing a fin field effect transistor.
  82. Kim, Min-Sang; Lee, Sung-Young; Kim, Sung-Min; Yun, Eun-Jung; Choi, In-Hyuk, Method of manufacturing a semiconductor device having a multi-channel type MOS transistor.
  83. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  84. Leonard Forbes ; Wendell P. Noble, Methods for dual-gated transistors.
  85. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  86. Kim, Sung Min; Park, Dong Gun; Lee, Chang Sub; Choe, Jeong Dong; Lee, Shin Ae; Kim, Seong Ho, Methods of fabricating field effect transistors having multiple stacked channels.
  87. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Methods of fabricating field effect transistors having multiple stacked channels.
  88. Lee,Sung Young; Kim,Sung Min; Park,Dong Gun; Oh,Chang Woo; Yun,Eun Jung, Methods of forming semiconductor devices having multiple channel MOS transistors.
  89. Lee, Sung-Young; Kim, Sung-Min; Park, Dong-Gun; Oh, Chang-Woo; Yun, Eun-Jung, Methods of forming semiconductor devices having multiple channel MOS transistors and related intermediate structures.
  90. Forbes, Leonard; Noble, Wendell P., Methods, structures, and circuits for transistors with gate-to-body capacitive coupling.
  91. Leonard Forbes ; Wendell P. Noble, Methods, structures, and circuits for transistors with gate-to-body capacitive coupling.
  92. Aller, Ingo; Keinert, Joachim; Ludwig, Thomas; Nowak, Edward J.; Rainey, BethAnn, Multi-height FinFETS.
  93. Liu Yowjuang William, Multilayer floating gate field effect transistor structure for use in integrated circuit devices.
  94. Liu Yowjuang William, Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices.
  95. Liu Yowjuang William, Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices.
  96. Yu Bin, Multiple active layer integrated circuit and a method of making such a circuit.
  97. Bin Yu, Multiple active layer structure and a method of making such a structure.
  98. Doyle,Brian S; Datta,Suman; Jin,Been Yih; Zelick,Nancy M; Chau,Robert, Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow.
  99. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  100. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  101. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  102. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  103. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  104. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  105. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  106. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  107. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  108. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  109. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  110. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  111. Brask,Justin K.; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  112. Lee, Hyo-san; Ko, Hung-ho; Hong, Chang-ki; Choi, Sang-jun, PAA-based etchant, methods of using same, and resultant structures.
  113. Lee,Hyo san; Ko,Hyung ho; Hong,Chang ki; Choi,Sang jun, PAA-based etchant, methods of using same, and resultant structures.
  114. Park, Jin-Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  115. Park,Jin Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  116. Park,Jin Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  117. Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  118. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  119. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  120. K. Paul L. Muller ; Edward J. Nowak ; Hon-Sum P. Wong, Process for making planarized silicon fin device.
  121. Yu, Bin, Process for manufacturing transistors having silicon/germanium channel regions.
  122. Liu Yowjuang William, Quadruple gate field effect transistor structure for use in integrated circuit devices.
  123. Hill, Wiley Eugene; Yu, Bin, SRAM formation using shadow implantation.
  124. Hill,Wiley Eugene; Yu,Bin, SRAM formation using shadow implantation.
  125. Hill,Wiley Eugene; Yu,Bin, SRAM formation using shadow implantation.
  126. Chapman Richard A. ; Houston Theodore W. ; Joyner Keith A., Self-aligned trenched-channel lateral-current-flow transistor.
  127. Chapman Richard A. ; Houston Theodore W. ; Joyner Keith A., Self-aligned trenched-channel lateral-current-flow transistor.
  128. Yagishita, Atsushi; Saito, Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  129. Yagishita,Atsushi; Saito,Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  130. Yagishita,Atsushi; Saito,Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  131. Iwanaga, Junko; Takagi, Takeshi; Kanzawa, Yoshihiko; Sorada, Haruyuki; Saitoh, Tohru; Kawashima, Takahiro, Semiconductor device and method for fabricating the same.
  132. Yagishita,Atsushi; Saito,Tomohiro; Iinuma,Toshihiko, Semiconductor device and method of manufacturing the same.
  133. Hisamoto Dai ; Sudou Yoshimi,JPX, Semiconductor device having SOI-MOSFET.
  134. Hisamoto Dai ; Sudou Yoshimi,JPX, Semiconductor device having SOI-MOSFET.
  135. Yoon, Jae Man; Park, Dong Gun; Lee, Choong Ho; Lee, Chul, Semiconductor device having a channel pattern and method of manufacturing the same.
  136. Kim, Min-Sang; Lee, Sung-Young; Kim, Sung-Min; Yun, Eun-Jung; Choi, In-Hyuk, Semiconductor device having a multi-channel type MOS transistor.
  137. Maegawa, Shigeto; Ipposhi, Takashi; Iwamatsu, Toshiaki, Semiconductor device having a thin film transistor and manufacturing method thereof.
  138. Kim, Sang-Su; Choe, Tae-Hee; Rhee, Hwa-Sung; Bae, Geum-Jong; Lee, Nae-In, Semiconductor device having gate all around type transistor and method of forming the same.
  139. Kim, Sang-Su; Choe, Tae-Hee; Rhee, Hwa-Sung; Bae, Geum-Jong; Lee, Nae-In, Semiconductor device having gate all around type transistor and method of forming the same.
  140. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  141. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  142. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  143. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  144. Hueting, Raymond J. E.; Hijzen, Erwin A., Semiconductor device with FET MESA structure and vertical contact electrodes.
  145. Ohmi Tadahiro,JPX ; Miyawaki Mamoru,JPX, Semiconductor device with insulated gate transistor.
  146. Ohmi Tadahiro,JPX ; Miyawaki Mamoru,JPX, Semiconductor device with insulated gate transistor.
  147. Bhuwalka, Krishna Kumar; Wu, Zhenhua; Kwon, Uihui; Lee, Keunho, Semiconductor devices having tapered active regions.
  148. Abadeer, Wagdi W.; Brown, Jeffrey S.; Fried, David M.; Gauthier, Jr., Robert J.; Nowak, Edward J.; Rankin, Jed H.; Tonti, William R., Semiconductor structure and system for fabricating an integrated circuit chip.
  149. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  150. Sorada,Haruyuki; Takagi,Takeshi; Asai,Akira; Kanzawa,Yoshihiko; Katayama,Kouji; Iwanaga,Junko, Strained channel finFET device.
  151. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  152. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  153. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  154. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  155. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  156. Forbes Leonard ; Noble Wendell P., Structure and method for gated lateral bipolar transistors.
  157. Leonard Forbes ; Wendell P. Noble, Structure and method for gated lateral bipolar transistors.
  158. Forbes Leonard ; Noble Wendell P., Structure for gated lateral bipolar transistors.
  159. Wang,Haihong; Ahmed,Shibly S.; Lin,Ming Ren; Yu,Bin, Systems and methods for forming multiple fin structures using metal-induced-crystallization.
  160. Forbes, Leonard; Noble, Wendell P., Technique for gated lateral bipolar transistors.
  161. Inoue, Satoshi; Yudasaka, Ichio, Thin film transistors, and liquid crystal display device and electronic apparatus using the same.
  162. Inoue, Satoshi; Yudasaka, Ichio, Thin film transistors, liquid crystal display device and electronic apparatus using the same.
  163. Yoon, Jae Man; Lee, Choong Ho; Park, Dong Gun; Lee, Chul, Transistor and method of forming the same.
  164. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman, Tri-gate devices and methods of fabrication.
  165. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  166. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  167. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  168. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  169. Liao, Wen-Shiang; Shiau, Wei-Tsun, Triple gate device having strained-silicon channel.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로