$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of making dense flash EEprom semiconductor memory structures 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/265
출원번호 US-0323779 (1989-03-15)
발명자 / 주소
  • Yuan Jack H. (Cupertino CA) Harari Eliyahou (Los Gatos CA)
출원인 / 주소
  • SunDisk Corporation (Santa Clara CA 02)
인용정보 피인용 횟수 : 548  인용 특허 : 0

초록

An improved electrically erasable and programmable read only memory (EEprom) structure and processes of making it which results in a denser integrated circuit, improved operation and extended lifetime. In order to eliminate certain ill effects resulting from tolerances which must be allowed for regi

대표청구항

A method of forming a two dimensional array of flash electrically erasable and programmable read only memory cells on a semiconductor substrate, comprising the steps of: forming a first plurality of continuous elongated parallel strips of conductive material on said substrate in a manner to be insul

이 특허를 인용한 특허 (548)

  1. Cernea, Raul Adrian, 3D NAND memory with socketed floating gate cells.
  2. Cernea, Raul Adrian, 3D NAND memory with socketed floating gate cells and process therefor.
  3. Cernea, Raul Adrian, 3D memory having NAND strings switched by transistors with elongated polysilicon gates.
  4. Sabde, Jagdish; Magia, Sagar; Pachamuthu, Jayavel, AC stress methods to screen out bit line defects.
  5. Magia, Sagar; Sabde, Jagdish, AC stress mode to screen out word line to word line shorts.
  6. Merrill Richard Billings, Active pixel sensor cell that minimizes leakage current.
  7. Ravimohan, Narendhiran Chinnaanangur; Jayaraman, Muralitharan; Manohar, Abhijeet; Bennett, Alan, Adaptive block allocation in nonvolatile memory.
  8. Yang, Niles, Adaptive block parameters.
  9. Tuers, Daniel; Ataklti, Yosief; Mahohar, Abhijeet; Weinberg, Yoav, Adaptive context disbursement for improved performance in non-volatile memory systems.
  10. Tuers, Daniel; Ta, Thomas; Manohar, Abhijeet, Adaptive data re-compaction after post-write read verification operations.
  11. Khurana, Bhuvan; Yang, Niles; Huang, Jianmin; Luo, Ting; Alrod, Idan, Adaptive data shaping in nonvolatile memory.
  12. Erez, Eran; Cheng, Steven Shisan, Adaptive flash interface.
  13. Sinclair,Alan Welsh, Adaptive metablocks.
  14. Gonzalez, Carlos J.; Sompel, Mark; Conley, Kevin M., Adaptive mode switching of flash memory address mapping based on host usage characteristics.
  15. Gonzalez, Carlos J.; Sompel, Mark; Conley, Kevin M., Adaptive mode switching of flash memory address mapping based on host usage characteristics.
  16. Yang, Niles; Fitzpatrick, James; Yuan, Jiahui, Adaptive operation of 3D NAND memory.
  17. Yang, Niles; Yuan, Jiahui; Fitzpatrick, James, Adaptive operation of 3D memory.
  18. Takafuji, Ryan Chiezo; Yang, Nian Niles; Avila, Chris Nga Yee, Adaptive operation of multi level cell memory.
  19. Avila, Chris Nga Yee; Dusija, Gautam Ashok; Chen, Jian; Dong, Yingda; Mui, Man Lung; Lee, Seungpil; Mak, Alexander Kwok-Tung, Adaptive operation of three dimensional memory.
  20. Avila, Chris; Dusija, Gautam; Chen, Jian; Dong, Yingda; Mui, Man; Lee, Seungpil; Mak, Alex, Adaptive operation of three dimensional memory.
  21. Hu, Xinde, Adaptive redundancy in three dimensional memory.
  22. Tam, Eugene Jinglun, Addressing auto address assignment and auto-routing in NAND memory network.
  23. Pan, Feng; Kuo, Tien-Chien; Wan, Jun; Lei, Bo, Aggregating data latches for program level determination.
  24. Li, Yan, Alternate page by page programming scheme.
  25. Mokhlesi, Nima, Array of non-volatile memory cells with floating gates formed of spacers in substrate trenches.
  26. Raghu, Deepak; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man, Back gate operation with elevated threshold voltage.
  27. Raghu, Deepak; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man, Bad block reconfiguration in nonvolatile memory.
  28. Raghu, Deepak; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man, Bad block reconfiguration in nonvolatile memory.
  29. Yurzola, Damian Pablo; Sharon, Eran; Alrod, Idan; Altshuler, Michael; Kotagiri, Madhuri; Nagabhirava, Rajeev, Bad column handling in flash memory.
  30. Yurzola, Damian Pablo; Sharon, Eran; Alrod, Idan; Altshuler, Michael; Kotagiri, Madhuri; Nagabhirava, Rajeev, Bad column handling in flash memory.
  31. Sharma, Sahil; Manohar, Abhijeet; Kochar, Mrinal; Huang, Yong; McAuley, Derek; Palityka, Mikhail; Baran, Ivan; Lee, Aaron, Bad column management in nonvolatile memory.
  32. Li, Yan; Kim, Kwang-Ho; Tsai, Frank W.; Bottelli, Aldo, Bad column management with bit information in non-volatile memory systems.
  33. Li, Yan; Kim, Kwang-ho; Tsai, Frank; Bottelli, Aldo, Bad column management with bit information in non-volatile memory systems.
  34. Huang, Yichao; Huang, Jianmin; Dusija, Gautam Ashok; Kragel, Oleg, Balanced performance for on-chip folding of non-volatile memories.
  35. Liu, Bo; Park, Jongmin; Chen, Chen; Kuo, Tien-chien, Bit scan circuits and method in non-volatile memory.
  36. Matamis,George; Pham,Tuan; Chien,Henry; Fang,Hao, Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory.
  37. Inbar, Karin; Lev, Einat; Yonin, Michael, Block management in non-volatile memory system with non-blocking control sync system.
  38. Agarwal, Dinesh Kumar; Ramamurthy, Ramkumar; Sivasankaran, Vijay, Block management scheme to handle cluster failures in non-volatile memory.
  39. Raghu, Deepak; Dusija, Gautam A.; Avila, Chris; Dong, Yingda; Mui, Man; Mak, Alexander Kwok-Tung; Koh, Pao-Ling, Block structure profiling in three dimensional memory.
  40. Sehgal, Rohit; Yang, Niles; Kashyap, Abhilash, Boundary word line operation in nonvolatile memory.
  41. Shah, Grishma; Dutta, Deepanshu, Boundary word line search and open block read methods with reduced read disturb.
  42. Rajendra, Srinivas; Ramachandra, Venkatesh Prasad, Bulk driven low swing driver.
  43. Modi, Primit; Ramachandra, Venkatesh, Bulk modulation scheme to reduce I/O pin capacitance.
  44. Huynh, Jonathan; Park, Jongmin, Cell current control through power supply.
  45. Tsai, Wanfang; Li, YenLung; Chen, Chen, Centralized variable rate serializer and deserializer for bad column management.
  46. Nguyen, Hao Thai; Lee, Juan Carlos; Lee, Seungpil; Matsumoto, Masahide; Park, Jongmin; Mui, Man Lung; Wang, Sung-En, Charge cycling by equalizing and regulating the source, well, and bit line levels during write operations for NAND flash memory: program to verify transition.
  47. Nguyen, Hao Thai; Lee, Juan Carlos; Lee, Seungpil; Park, Jongmin; Mui, Man Lung, Charge cycling by equalizing and regulating the source, well, and bit line levels during write operations for NAND flash memory: verify to program transition.
  48. Nguyen, Hao Thai; Lee, Juan Carlos; Lee, Seungpil; Park, Jongmin; Mui, Man Lung, Charge cycling by equalizing the source and bit line levels between pulses during no-verify write operations for NAND flash memory.
  49. Pan, Feng; Wang, Jun; Guhados, Shankar; Lei, Bo, Charge pump based over-sampling ADC for current detection.
  50. Huynh, Jonathan; Pham, Trung; Wang, Sung-en; Park, Jongmin, Charge pump based over-sampling with uniform step size for current detection.
  51. Gorobets,Sergey Anatolievich; Bennett,Alan David, Cluster auto-alignment for storing addressable data packets in a non-volatile memory array.
  52. Liu, Bo; Tsai, Frank Wanfang; Park, Jongmin; Li, Yan, Column redundancy circuitry for non-volatile memory.
  53. Sharon, Eran; Li, Yan; Lee, Dana; Alrod, Idan, Combined simultaneous sensing of multiple wordlines in a post-write read (PWR) and detection of NAND failures.
  54. Kuo, Tien-Chien; Huynh, Jonathan H.; Wang, Sung-En, Common line current for program level determination in flash memory.
  55. Mui, Man Lung; Park, Jongmin; Nguyen, Hao Thai; Lee, Juan Carlos; Lee, Seungpil; Chu, Alexander Tsang-nam, Compact high speed sense amplifier for non-volatile memory.
  56. Mui, Man Lung; Kato, Yosuke; Nguyen, Hao Thai; Lee, Seungpil, Compact high speed sense amplifier for non-volatile memory and hybrid lockout.
  57. Mui, Man Lung; Park, Jongmin; Nguyen, Hao Thai; Lee, Seungpil, Compact high speed sense amplifier for non-volatile memory with reduced layout area and power consumption.
  58. She, Min; Li, Yan; Kim, Kwang-Ho; Chan, Siu Lung, Compact sense amplifier for non-volatile memory.
  59. She, Min; Li, Yan; Kim, Kwang-Ho; Chan, Siu Lung, Compact sense amplifier for non-volatile memory suitable for quick pass write.
  60. Avila, Chris; Dong, Yingda; Mui, Man Lung, Compensation for sub-block erase.
  61. Ye, Jia; Kochar, Mrinal; Huang, Jianmin, Configurable accelerated post-write read to manage errors.
  62. Smith, Peter; Gorobets, Sergey, Configurable ready/busy control.
  63. Jack H. Yuan ; Eliyahou Harari, Control of floating gate oxide growth by use of an oxygen barrier.
  64. Conley, Kevin M., Convolutional coding methods for nonvolatile memory.
  65. Gorobets,Sergey Anatolievich; Elhamias,Reuven; Gonzalez,Carlos J.; Conley,Kevin M., Corrected data storage and handling methods.
  66. Magia, Sagar; Sabde, Jagdish; Pachamuthu, Jayavel; Babariya, Ankitkumar, Current based detection and recording of memory hole-interconnect spacing defects.
  67. Yero,Emilio, Cycle count storage methods.
  68. Yero,Emilio, Cycle count storage systems.
  69. Gorobets,Sergey A.; Bennett,Alan D.; Smith,Peter J.; Sinclair,Alan W.; Conley,Kevin M.; Royall,Philip D., Cyclic flash memory wear leveling.
  70. Bhalerao, Abhijeet; Kochar, Mrinal; Ea, Dennis S.; Palityka, Mikhail; Lee, Aaron; Ng, Yew Yin; Baran, Ivan, Data folding in 3D nonvolatile memory.
  71. Avila, Chris Nga Yee; Dong, Yinda; Gavens, Lee M., Data randomization in 3-D memory.
  72. Avila, Chris; Dong, Yingda; Gavens, Lee, Data randomization in 3-D memory.
  73. Sharon, Eran; Alrod, Idan, Data recovery for defective word lines during programming of non-volatile memory arrays.
  74. Li,Yan, Data recovery methods in multi-state memory after program fail.
  75. Tam, Eugene Jinglun, Data recovery on cluster failures and ECC enhancements with code word interleaving.
  76. Tam, Eugene Jinglun, Data recovery on cluster failures and ECC enhancements with code word interleaving.
  77. Shepard, Zac; Sprouse, Steven T.; Avila, Chris Nga Yee, Data retention in nonvolatile memory with multiple data storage formats.
  78. Sinclair,Alan Welsh, Data run programming.
  79. Huang, Jianmin; Avila, Chris; Gavens, Lee M.; Hutchinson, Neil David; Gorobets, Sergey Anatolievich, Data transfer flows for on-chip folding.
  80. Tuers, Daniel; Manohar, Abhijeet; Dhulipala, Venkata Krishna Nadh; Desai, Girish B., Datapath management in a memory controller.
  81. Chien, Henry; Fong, Yupin, Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND.
  82. Chien, Henry; Fong, Yupin, Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND.
  83. Chien, Henry; Fong, Yupin, Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND.
  84. Chien,Henry; Fong,Yupin, Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND.
  85. Yang, Nian Niles; Chandrasekhar, Uday; Huang, Yichao; Bauche, Alexandra; Wu, William S., Defective block management.
  86. Yuan Jack H. ; Samachisa Gheorghe ; Guterman Daniel C. ; Harari Eliyahou, Dense vertical programmable read only memory cell structures and processes for making them.
  87. Rostoker, Tal; Marcu, Alon, Detecting access sequences for data compression on non-volatile memory devices.
  88. Kochar, Mrinal; Huang, Jianmin; Wan, Jun, Detection of broken word-lines in memory arrays.
  89. Shah, Grishma Shailesh; Li, Yan, Detection of broken word-lines in memory arrays.
  90. Li, Yan; Lee, Dana; Huynh, Jonathan, Detection of word-line leakage in memory arrays.
  91. Sbade, Jagdish M.; Magia, Sagar, Determination of bit line to low voltage signal shorts.
  92. Magia, Sagar; Sabde, Jagdish M., Determination of word line to local source line shorts.
  93. Magia, Sagar; Sabde, Jagdish; Nguyen, Khanh, Determination of word line to word line shorts between adjacent blocks.
  94. Sabde, Jagdish; Magia, Sagar; Nguyen, Khanh, Determination of word line to word line shorts between adjacent blocks.
  95. Lee Sung Chul,KRX ; Lim Min Gyu,KRX, Device and fabricating method of non-volatile memory.
  96. Gilman Paul B. ; Chang Jack C. ; Parulski Kenneth A. ; Pink Jeffrey R., Digital colored corrected prints produced from colored film.
  97. Huynh, Jonathan; Chen, Maurice; Park, Jongmin; Kuo, Tien chien, Digitally controlled source side select gate offset in 3D NAND memory erase.
  98. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared adjacent cells.
  99. Eliyahou Harari ; Daniel C. Guterman ; George Samachisa ; Jack H. Yuan, Dual floating gate EEPROM cell array with steering gates shared by adjacent cells.
  100. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared by adjacent cells.
  101. Kagan, Yishai; McCarthy, Michael James, Dual voltage flash memory card.
  102. Kagan, Yishai; McCarthy, Michael James, Dual voltage flash memory methods.
  103. Yang, Niles Nian; Avila, Chris, Dynamic memory recovery at the sub-block level.
  104. Chung, Chun Sing Jackson; Cheng, Steven Shisan, Dynamic optimization of back-end memory system interface.
  105. Huynh, Jonathan H.; Wang, Sung-En; Pan, Feng, Dynamic regulation of memory array source line.
  106. Chung Seong-Woo,KRX, EEPROM cell and process for formation thereof.
  107. Guterman, Daniel C.; Samachisa, Gheorghe; Fong, Yupin Kawing; Harari, Eliyahou, EEPROM cell array structure with specific floating gate shape.
  108. Guterman,Daniel C.; Samachisa,Gheorghe; Fong,Yupin Kawing; Harari,Eliyahou, EEPROM with split gate source side infection with sidewall spacers.
  109. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing, EEPROM with split gate source side injection.
  110. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing ; Harari Eliyahou, EEPROM with split gate source side injection.
  111. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing ; Harari Eliyahou, EEPROM with split gate source side injection.
  112. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing ; Harari Eliyahou, EEPROM with split gate source side injection.
  113. Guterman,Daniel C.; Samachisa,Gheorghe; Fong,Yupin Kawing; Harari,Eliyahou, EEPROM with split gate source side injection.
  114. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing ; Harari Eliyahou, EEPROM with split gate source side injection with sidewall spacers.
  115. Guterman, Daniel C.; Samachisa, Gheorghe; Fong, Yupin Kawing; Harari, Eliyahou, EEPROM with split gate source side injection with sidewall spacers.
  116. Guterman, Daniel C.; Samachisa, Gheorghe; Fong, Yupin Kawing; Harari, Eliyahou, Eeprom with split gate source side injection.
  117. Avila, Chris; Dusija, Gautam A.; Chen, Jian, Efficient post write read in three dimensional nonvolatile memory.
  118. Cernea, Raul Adrian, Efficient process for 3D NAND memory with socketed floating gate cells.
  119. Magia, Sagar; Sabde, Jagdish; Pachamuthu, Jayavel, Erase stress and delta erase loop count methods for various fail modes in non-volatile memory.
  120. Luo, Ting; Huang, Jianmin; Avila, Chris Nga Yee; Lee, Dana; Dusija, Gautam Ashok, Erased page confirmation in multilevel memory.
  121. Huang, Jianmin; Zhou, Zhenming; Dusija, Gautam Ashok; Avila, Chris Nga Yee; Lee, Dana, Erased state reading.
  122. Huang, Jianmin; Tanpairoj, Kulachet; Avila, Chris Nga Yee; Dusija, Gautam Ashok, Experience count dependent program algorithm for flash memory.
  123. Duzly, Yacov; Marcu, Alon; Kenan, Yuval; Li, Yan; Mui, Man Lung; Lee, Seungpil, Fast-reading NAND flash memory.
  124. Duzly, Yacov; Marcu, Alon; Kenan, Yuval; Li, Yan; Mui, Man; Lee, Seungpil, Fast-reading NAND flash memory.
  125. Tuers, Daniel; Ataklti, Yosief; Manohar, Abhijeet, Finding read disturbs on non-volatile memories.
  126. Mokhlesi, Nima, Flash NAND memory cell array with charge storage elements positioned in trenches.
  127. Conley, Kevin M.; Elhamias, Reuven, Flash controller cache architecture.
  128. Conley,Kevin M.; Elhamias,Reuven, Flash controller cache architecture.
  129. Conley,Kevin M.; Elhamias,Reuven, Flash controller cache architecture.
  130. Higashitani,Masaaki, Flash devices with shared word lines.
  131. Kohji Kanamori JP, Flash memory and manufacturing method therefor.
  132. Yuan, Jack H., Flash memory array with increased coupling between floating and control gates.
  133. Yuan, Jack H., Flash memory array with increased coupling between floating and control gates.
  134. Yuan,Jack H., Flash memory array with increased coupling between floating and control gates.
  135. Kwak Jong-Seok,KRX, Flash memory cell and method of fabricated the same.
  136. Kwak Jong-Seok,KRX, Flash memory cell and method of fabricating the same.
  137. Gonzalez, Carlos J.; Conley, Kevin M., Flash memory data correction and scrub techniques.
  138. Gonzalez, Carlos J.; Conley, Kevin M., Flash memory data correction and scrub techniques.
  139. Gonzalez, Carlos J.; Conley, Kevin M., Flash memory data correction and scrub techniques.
  140. Gonzalez,Carlos J.; Conley,Kevin M., Flash memory data correction and scrub techniques.
  141. Gonzalez,Carlos J.; Conley,Kevin M., Flash memory data correction and scrub techniques.
  142. Choi Jong Moo,KRX ; Kim Sung Ryul,KRX ; Park Young Keun,KRX, Flash memory device and method for manufacturing the same.
  143. Choi Jong Moo,KRX ; Kim Sung Ryul,KRX ; Park Young Keun,KRX, Flash memory device and method for manufacturing the same.
  144. Daberko Norbert P. ; Davis Richard K., Flash memory file system in a handheld record and playback device.
  145. Lin,Jason T., Flash memory refresh techniques triggered by controlled scrub data reads.
  146. Lin, Jason T., Flash memory with data refresh triggered by controlled scrub data reads.
  147. Lin Chrong-Jung,TWX ; Chen Hsin-Ming,TWX, Flash memory with split gate structure and method of fabricating the same.
  148. Harari, Eliyahou; Yuan, Jack H.; Samachisa, George, Floating gate memory cells utilizing substrate trenches to scale down their size.
  149. Li, Yan; Trinh, Cuong Quoc, Folding data stored in binary format into multi-state format within non-volatile memory devices.
  150. Wani, Barkat A.; Cernea, Raul-Adrian, Hardware efficient on-chip digital temperature coefficient voltage generator and method.
  151. Young Paul R. (Cromwell CT) Richard David W. (Southington CT) Bazar Leonard (Middletown CT), Hermetically sealed memory or PC card unit having a frame, header and covers in bonded engagement.
  152. Tam, Eugene Jinglun, High performance system topology for NAND memory systems.
  153. Nguyen, Hao Thai; Mui, Man Lung; Lee, Seungpil; Zhang, Fanglin; Wang, Chi-Ming, High speed sense amplifier array and method for non-volatile memory.
  154. Huynh, Jonathan; Park, Jongmin; Pham, Trung, High voltage step down regulator with breakdown protection.
  155. Cernea, Raul-Adrian, Highly compact non-volatile memory and method therefor with internal serial buses.
  156. Cernea,Raul Adrian, Highly compact non-volatile memory and method therefor with internal serial buses.
  157. Cernea,Raul Adrian, Highly compact non-volatile memory and method therefor with internal serial buses.
  158. Cernea, Raul-Adrian, Highly compact non-volatile memory and method therefor with space-efficient data registers.
  159. Cernea, Raul-Adrian, Highly compact non-volatile memory and method thereof.
  160. Cernea, Raul-Adrian, Highly compact non-volatile memory and method thereof.
  161. Cernea,Raul Adrian, Highly compact non-volatile memory and method thereof.
  162. Yeung, Chun Sum; Chen, Jian; Lee, Aaron; Manohar, Abhijeet; Avila, Chris; Lee, Dana; Huang, Jianmin, Identification and operation of sub-prime blocks in nonvolatile memory.
  163. Duzly, Yacov; Marcu, Alon; Moogat, Farookh; Li, Yan; Olbrich, Aaron Keith, Immunity against temporary and short power drops in non-volatile memory.
  164. Li, Yan, Immunity against temporary and short power drops in non-volatile memory: pausing techniques.
  165. Avila, Chris; Dusija, Gautam; Raghu, Deepak; Hsu, Cynthia; Chen, Changyuan; Moogat, Farookh, In-situ block folding for nonvolatile memory.
  166. Avila, Chris; Dusija, Gautam; Raghu, Deepak; Hsu, Cynthia; Chen, Changyuan; Moogat, Farookh, In-situ block folding for nonvolatile memory.
  167. Pham, Tuan D.; Higashitani, Masaaki, Integration process flow for flash devices with low gap fill aspect ratio.
  168. Cernea,Raul Adrian, Latched programming of memory and method.
  169. Nguyen, Hao Thai; Mui, Man Lung; Lee, Seungpil, Low noise sense amplifier array and method for nonvolatile memory.
  170. Nguyen, Hao Thai; Mui, Man Lung; Lee, Seungpil, Low noise sense amplifier array and method for nonvolatile memory.
  171. Nguyen, Hao Thai; Mui, Man Lung; Lee, Seungpil, Low noise sense amplifier array and method for nonvolatile memory.
  172. Pham,Tuan; Higashitani,Masaaki, Low-voltage, multiple thin-gate oxide and low-resistance gate electrode.
  173. Gorobets, Sergey; Avila, Chris; Sprouse, Steven T., Lower page only host burst writes.
  174. Gorobets, Sergey; Avila, Chris; Sprouse, Steven T., Lower page only host burst writes.
  175. Conley, Kevin M.; Gonzalez, Carlos J., Management of non-volatile memory systems having large erase blocks.
  176. Conley, Kevin M.; Gonzalez, Carlos J., Management of non-volatile memory systems having large erase blocks.
  177. Conley, Kevin; Gonzalez, Carlos J., Management of non-volatile memory systems having large erase blocks.
  178. Lin, Jason, Managing a pool of update memory blocks based on each block's activity and data order.
  179. Cernea Raul-Adrian ; Samachisa George, Memory array architecture utilizing global bit lines shared by multiple cells.
  180. Yang, Niles; Huang, Jianmin; Bakshi, Swati, Memory block allocation by block health.
  181. Wallace, Robert F., Memory package.
  182. Wallace,Robert F., Memory package.
  183. Wallace,Robert F., Memory package.
  184. Wallace, Robert F., Memory package with a controller on one side of a printed circuit board and memory on another side of the circuit board.
  185. Cernea,Raul Adrian, Memory sensing circuit and method for low voltage operation.
  186. Cernea,Raul Adrian, Memory sensing circuit and method for low voltage operation.
  187. Yadav, Preeti; Sarana, Barys; Bhalerao, Abhijeet; Fernandez, Frederick; Joshi, Namita, Memory system performance configuration.
  188. Mui, Man Lung; Chen, Changyuan; Lee, Seungpil; Koh, Yee Lih; Park, Jongmin; Nguyen, Hao Thai; Sakhamuri, Vamsi Krishna, Memory system with unverified program step.
  189. Gonzalez, Carlos J.; Guterman, Daniel C., Memory with cell population distribution assisted read margining.
  190. Conley,Kevin M.; Cedar,Yoram, Memory with retargetable memory cell redundancy.
  191. Yuan,Jack H., Memory with self-aligned trenches for narrow gap isolation regions.
  192. Gorobets, Sergey Anatolievich; Cohen, Niv; Reynolds, Russell R., Method and system for virtual fast access non-volatile RAM.
  193. Guterman, Daniel C.; Cedar, Yoram; Schroter, Charles; Barrocas, Milton Lourenco; Gonzalez, Carlos; Conley, Kevin M., Method for adapting a memory system to operate with a legacy host originally designed to operate with a different memory system.
  194. Li,Yan, Method for cache page copy in a non-volatile memory.
  195. Moogat, Farookh; Cernea, Raul-Adrian; Tsao, Shou-Chang; Tseng, Tai-Yuan, Method for column redundancy using data latches in solid-state memories.
  196. Moogat,Farookh; Cernea,Raul Adrian; Tsao,Shouchang; Tseng,Tai Yuan, Method for column redundancy using data latches in solid-state memories.
  197. Chan, Siu Lung; Cernea, Raul Adrian, Method for compensated sensing in non-volatile memory.
  198. Chan,Siu Lung; Cernea,Raul Adrian, Method for compensated sensing in non-volatile memory.
  199. Tomlin, Andrew, Method for copying data in reprogrammable non-volatile memory.
  200. Cernea, Raul-Adrian, Method for correlated multiple pass programming in nonvolatile memory.
  201. Ueda Kenji,JPX ; Egashira Kyoko,JPX, Method for fabricating a semiconductor memory device.
  202. Lin, Chi-Hui; Huang, Chung-Lin, Method for fabricating a source line of a flash memory cell.
  203. Kim Ji Hyeog,KRX ; Kim Sung Youn,KRX, Method for fabricating flash memory cell.
  204. Watanabe Hirohito (Tokyo JPX) Tatsumi Toru (Tokyo JPX), Method for fabricating polycrystalline silicon having micro roughness on the surface.
  205. Guterman Daniel C. ; Samachisa Gheorghe ; Fong Yupin Kawing ; Harari Eliyahou, Method for forming EEPROM with split gate source side injection.
  206. Lee Byung-Il (Daejeon-si KRX), Method for forming a semiconductor device having a floating gate.
  207. Kao Dah-Bin ; Wu Albert T. ; Chan Tung-Yi, Method for forming minute openings in semiconductor devices.
  208. Sato Kazuo,JPX ; Ueda Kenji,JPX ; Morita Michio,JPX ; Noro Fumihiko,JPX ; Miyamoto Kyoko,JPX ; Onishi Hideaki,JPX ; Umeda Kazuo,JPX ; Kubo Kazuya,JPX, Method for forming semiconductor memory device.
  209. Lo, Wen-Hsun; Liu, Hsing-Chao; Chern, Jin-Dong; Huang, Po-Shun, Method for forming semiconductor structure.
  210. Cernea, Raul-Adrian, Method for index programming and reduced verify in nonvolatile memory.
  211. Shimoji Noriyuki,JPX ; Tsuruta Masataka,JPX ; Yonezawa Takuya,JPX, Method for manufacturing a semiconductor device.
  212. Li, Yan; Lin, Jason, Method for non-volatile memory with background data latch caching during erase operations.
  213. Li,Yan, Method for non-volatile memory with background data latch caching during program operations.
  214. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  215. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  216. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  217. Li,Yan, Method for non-volatile memory with background data latch caching during read operations.
  218. Tu,Loc; Hook,Charles Moana; Li,Yan, Method for non-volatile memory with linear estimation of initial programming voltage.
  219. Li,Yan, Method for non-volatile memory with managed execution of cached data.
  220. Li, Yan; Tu, Loc; Hook, Charles Moana, Method for non-volatile memory with reduced erase/write cycling during trimming of initial programming voltage.
  221. Harari Eliyahou (Los Gatos CA) Guterman Daniel C. (Fremont CA) Mehrotra Sanjay (Milpitas CA) Gross Stephen J. (Santa Clara CA), Method for optimum erasing of EEPROM.
  222. Tsao,Shou Chang; Li,Yan, Method for power-saving multi-pass sensing in non-volatile memory.
  223. Paul B. Gilman ; Jack C. Chang ; Kenneth A. Parulski ; Jeffrey R. Pink, Method for producing prints from digital image files scanned from film.
  224. Bergemont Albert (San Jose CA), Method for reducing the spacing between the horizontally adjacent floating gates of a flash EPROM array.
  225. Cernea,Raul Adrian, Method for remote redundancy for non-volatile memory.
  226. Sekar,Deepak Chandra; Mui,Man Lung; Mokhlesi,Nima, Method of compensating variations along a word line in a non-volatile memory.
  227. Yuan Jack H. ; Harari Eliyahou, Method of controlling of floating gate oxide growth by use of an oxygen barrier.
  228. Tanaka Makoto,JPX, Method of fabricating nonvolatile semiconductor memory devices with select gates.
  229. Mokhlesi, Nima, Method of forming a flash NAND memory cell array with charge storage elements positioned in trenches.
  230. Kim Myung Seon,KRX ; Back Sun Haeng,KRX, Method of forming a floating gate in a flash memory device.
  231. Chandra Sekar, Deepak; Mokhlesi, Nima, Method of forming a single-layer metal conductors with multiple thicknesses.
  232. Higashitani, Masaaki, Method of forming low resistance void-free contacts.
  233. Yuan,Jack H.; Haskell,Jacob, Method of forming non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors.
  234. Merrill Richard Billings, Method of making active pixel sensor cell that minimizes leakage current.
  235. Mokhlesi, Nima, Method of making an array of non-volatile memory cells with floating gates formed of spacers in substrate trenches.
  236. Ghneim Said N. ; Fulford ; Jr. H. Jim, Method of making non-volatile memory device having a floating gate with enhanced charge retention.
  237. Saitoh Kenji,JPX, Method of making non-volatile semiconductor memory device with the floating gate having upper and lower impurity concen.
  238. Yuan Jack H., Method of making triple polysilicon flash EEPROM arrays having a separate erase gate for each row of floating gates.
  239. Lee Byung-Il,KRX, Method of manufacturing a non-volatile semiconductor device.
  240. Lee Sung Chul (Chungcheongbuk-do KRX) Kim Jang Han (Chungcheongbuk-do KRX), Method of manufacturing a semiconductor device having a self-aligned structure for a split gate flash memory device.
  241. Lutze,Jeffrey W.; Pham,Tuan; Higashitani,Masaaki, Method of manufacturing self aligned non-volatile memory cells.
  242. Yuan Jack H. ; Harari Eliyahou ; Chien Henry ; Samachisa Gheorghe, Method of patterning polysilicon layers on substrate.
  243. Chien, Henry; Matamis, George; Pham, Tuan; Higashitani, Masaaki; Horiuchi, Hidetaka; Lutze, Jeffrey W.; Mokhlesi, Nima; Fong, Yupin Kawing, Method of reducing coupling between floating gates in nonvolatile memory.
  244. Gorobets,Sergey Anatolievich, Methods for adaptively handling data writes in non-volatile memories.
  245. Chan,Siu Lung, Methods for improved program-verify operations in non-volatile memories.
  246. Chan,Siu Lung, Methods for improved program-verify operations in non-volatile memories.
  247. Yuan,Jack H., Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices.
  248. Tuers, Daniel; Manohar, Abhijeet; Weinberg, Yoav; Barrocas, Milton Lourenco, Methods for using pulse signals in memory circuits.
  249. Li, Yan; Fong, Yupin Kawing; Mokhlesi, Nima, Methods in a pseudo random and command driven bit compensation for the cycling effects in flash memory.
  250. Brandman, Yigal; Conley, Kevin M., Methods of adapting operation of nonvolatile memory.
  251. Gonzalez, Carlos J.; Guterman, Daniel C., Methods of cell population distribution assisted read margining.
  252. Gonzalez, Carlos J.; Guterman, Daniel C., Methods of cell population distribution assisted read margining.
  253. Mokhlesi, Nima, Methods of forming and operating NAND memory with side-tunneling.
  254. Mokhlesi, Nima, Methods of forming and operating NAND memory with side-tunneling.
  255. Higashitani, Masaaki, Methods of forming flash device with shared word lines.
  256. Higashitani, Masaaki, Methods of forming flash devices with shared word lines.
  257. Keller,J. Dennis; Lee,Roger R., Methods of forming floating gate transistors.
  258. Mokhlesi,Nima, Methods of forming nonvolatile memories with L-shaped floating gates.
  259. Chien, Henry; Matamis, George; Pham, Tuan; Higashitani, Masaaki; Horiuchi, Hidetaka; Lutze, Jeffrey W.; Mokhlesi, Nima; Fong, Yupin Kawing, Methods of reducing coupling between floating gates in nonvolatile memory.
  260. Brandman, Yigal; Conley, Kevin M., Methods of soft-input soft-output decoding for nonvolatile memory.
  261. Magia, Sagar; Sabde, Jagdish; Pachamuthu, Jayavel; Babariya, Ankitkumar, Methods to improve programming of slow cells.
  262. So, Hock C.; Wong, Sau C., Multi-bit-per-cell flash EEPROM memory with refresh.
  263. So,Hock C.; Wong,Sau C., Multi-bit-per-cell flash EEPROM memory with refresh.
  264. So,Hock C.; Wong,Sau C., Multi-bit-per-cell flash EEPROM memory with refresh.
  265. Tuers, Daniel; Manohar, Abhijeet, Multi-level redundancy code for non-volatile memory controller.
  266. Guterman Daniel C. ; Fong Yupin Kawing, Multi-state memory.
  267. Guterman Daniel C. ; Fong Yupin Kawing, Multi-state memory.
  268. Guterman Daniel C. ; Fong Yupin Kawing, Multi-state memory.
  269. Guterman Daniel C. ; Fong Yupin Kawing, Multi-state memory.
  270. Guterman, Daniel C.; Fong, Yupin Kawing, Multi-state memory.
  271. Guterman, Daniel C.; Fong, Yupin Kawing, Multi-state memory.
  272. Guterman, Daniel C.; Fong, Yupin Kawing, Multi-state memory.
  273. Guterman, Daniel C.; Fong, Yupin Kawing, Multi-state memory.
  274. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  275. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  276. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  277. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  278. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  279. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  280. Guterman,Daniel C.; Fong,Yupin Kawing, Multi-state memory.
  281. Li,Yan, Multi-state memory having data recovery after program fail.
  282. Harari, Eliyahou; Samachisa, George; Yuan, Jack H.; Guterman, Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  283. Harari, Eliyahou; Samachisa, George; Yuan, Jack H.; Guterman, Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  284. Harari, Eliyahou; Samachisa, George; Yuan, Jack H.; Guterman, Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  285. Harari, Eliyahou; Samachisa, George; Yuan, Jack H.; Guterman, Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  286. Harari,Eliyahou; Samachisa,George; Yuan,Jack H.; Guterman,Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  287. Harari,Eliyahou; Samachisa,George; Yuan,Jack H.; Guterman,Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  288. Harari,Eliyahou; Samachisa,George; Yuan,Jack H.; Guterman,Daniel C., Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements.
  289. Guterman, Daniel C.; Samachisa, Gheorghe; Fong, Yupin Kawing; Harari, Eliyahou, Multi-state operation of dual floating gate array.
  290. Higashitani,Masaaki; Pham,Tuan, Multi-thickness dielectric for semiconductor memory.
  291. Tam, Eugene Jinglun, Multi-word line erratic programming detection.
  292. Cernea, Raul-Adrian, NAND flash memory with a programming voltage held dynamically in a NAND chain channel region.
  293. Cernea, Raul-Adrian, NAND flash memory with a programming voltage held dynamically in a NAND chain channel region.
  294. Li,Yan; Fong,Yupin Kawing; Miwa,Toru, Non-volatile memory and control with improved partial page program capability.
  295. Li,Yan; Fong,Yupin Kawing; Miwa,Toru, Non-volatile memory and control with improved partial page program capability.
  296. Li,Yan; Fong,Yupin Kawing; Miwa,Toru, Non-volatile memory and control with improved partial page program capability.
  297. Tsao, Shou-Chang; Li, Yan, Non-volatile memory and method for power-saving multi-pass sensing.
  298. Tsao, Shou-Chang; Li, Yan, Non-volatile memory and method for power-saving multi-pass sensing.
  299. Cernea, Raul-Adrian, Non-volatile memory and method for ramp-down programming.
  300. Cernea, Raul-Adrian, Non-volatile memory and method for sensing with pipelined corrections for neighboring perturbations.
  301. Lee, Seungpil; Park, Jongmin, Non-volatile memory and method having a memory array with a high-speed, short bit-line portion.
  302. Gorobets, Sergey Anatolievich; Bennett, Alan David; Shippey, Tom Hugh; Parker, Liam Michael; Yaromenka, Yauheni; Sprouse, Steven T.; Wu, William S.; Bundukin, Marielle, Non-volatile memory and method having block management with hot/cold data sorting.
  303. Avila, Chris Nga Yee; Huang, Jianmin; Gavens, Lee M.; Alrod, Idan, Non-volatile memory and method having efficient on-chip block-copying with controlled error rate.
  304. Gavens, Lee M.; Chen, Jian, Non-volatile memory and method with accelerated post-write read to manage errors.
  305. Sharon, Eran, Non-volatile memory and method with accelerated post-write read using combined verification of multiple pages.
  306. Peng, Yong; Nagabhirava, Rajeev, Non-volatile memory and method with adaptive logical groups.
  307. Chen, Han; Mui, Man Lung; Tei, Kou, Non-volatile memory and method with adjusted timing for individual programming pulses.
  308. Khalid,Shahzad; Li,Yan; Cernea,Raul Adrian; Mofidi,Mehrdad, Non-volatile memory and method with bit line compensation dependent on neighboring operating modes.
  309. Cernea,Raul Adrian; Li,Yan; Mofidi,Mehrdad; Khalid,Shahzad, Non-volatile memory and method with bit line coupled compensation.
  310. Cernea, Raul Adrian; Li, Yan; Mofidi, Mehrdad; Khalid, Shahzad, Non-volatile memory and method with bit line to bit line coupled compensation.
  311. Cernea,Raul Adrian; Li,Yan; Mofidi,Mehrdad; Khalid,Shahzad, Non-volatile memory and method with bit line to bit line coupled compensation.
  312. Bennett,Alan David; Bryce,Alan Douglas; Gorobets,Sergey; Sinclair,Alan Welsh; Smith,Peter John, Non-volatile memory and method with block management system.
  313. Cernea,Raul Adrian; Chan,Siu Lung, Non-volatile memory and method with compensation for source line bias errors.
  314. Cernea,Raul Adrian; Chan,Siu Lung, Non-volatile memory and method with compensation for source line bias errors.
  315. Gorobets, Sergey Anatolievich; Bryce, Alan Douglas; Bennett, Alan David, Non-volatile memory and method with control data management.
  316. Gorobets, Sergey Anatolievich; Bryce, Alan Douglas; Bennett, Alan David, Non-volatile memory and method with control data management.
  317. Cernea,Raul Adrian; Chan,Siu Lung, Non-volatile memory and method with control gate compensation for source line bias errors.
  318. Cernea,Raul Adrian; Chan,Siu Lung, Non-volatile memory and method with control gate compensation for source line bias errors.
  319. Cernea,Raul Adrian; Chan,Siu Lung, Non-volatile memory and method with control gate compensation for source line bias errors.
  320. Kato, Yosuke, Non-volatile memory and method with even/odd combined block decoding.
  321. Hsu, Jonathan; Avila, Chris Nga Yee; Mak, Alexander Kwok-Tung; Gorobets, Sergey Anatolievich; Kuo, Tien-chien; Koh, Yee Lih; Wan, Jun, Non-volatile memory and method with improved data scrambling.
  322. Li, Yan; Hsu, Cynthia; Oowada, Ken, Non-volatile memory and method with improved first pass programming.
  323. Gorobets,Sergey Anatolievich; Smith,Peter John; Bennett,Alan David, Non-volatile memory and method with improved indexing for scratch pad and update blocks.
  324. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with improved sensing.
  325. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with improved sensing.
  326. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with improved sensing.
  327. Mokhlesi, Nima, Non-volatile memory and method with improved sensing having a bit-line lockout control.
  328. Mokhlesi, Nima, Non-volatile memory and method with improved sensing having bit-line lockout control.
  329. Mokhlesi, Nima, Non-volatile memory and method with improved sensing having bit-line lockout control.
  330. Gorobets,Sergey Anatolievich; Smith,Peter John; Bennett,Alan David, Non-volatile memory and method with multi-stream update tracking.
  331. Smith, Peter John; Gorobets, Sergey Anatolievich; Bennett, Alan David, Non-volatile memory and method with multi-stream updating.
  332. Smith,Peter John; Gorobets,Sergey Anatolievich; Bennett,Alan David, Non-volatile memory and method with multi-stream updating.
  333. Sinclair, Alan Welsh; Gorobets, Sergey Anatolievich; Bennett, Alan David; Smith, Peter John, Non-volatile memory and method with non-sequential update block management.
  334. Lee, Dana; Chen, Yi-Chieh; Moogat, Farookh, Non-volatile memory and method with peak current control.
  335. Lee, Dana; Chen, Yi-Chieh; Moogat, Farookh, Non-volatile memory and method with peak current control.
  336. Gorobets, Sergey Anatolievich, Non-volatile memory and method with phased program failure handling.
  337. Chen, Jian, Non-volatile memory and method with post-write read and adaptive re-write to manage errors.
  338. Chen, Jian, Non-volatile memory and method with post-write read and adaptive re-write to manage errors.
  339. Dusija, Gautam Ashok; Chen, Jian; Avila, Chris; Huang, Jianmin; Gavens, Lee M., Non-volatile memory and method with post-write read and adaptive re-write to manage errors.
  340. Li, Yan; Lee, Seungpil; Chan, Siu Lung, Non-volatile memory and method with power-saving read and program-verify operations.
  341. Li, Yan; Lee, Seungpil; Chan, Siu Lung, Non-volatile memory and method with power-saving read and program-verify operations.
  342. Li, Yan; Lee, Seungpil; Chan, Siu Lung, Non-volatile memory and method with power-saving read and program-verify operations.
  343. Li,Yan; Lee,Seungpil; Chan,Siu Lung, Non-volatile memory and method with power-saving read and program-verify operations.
  344. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced bit line crosstalk errors.
  345. Cernea, Raul-Adrain; Li, Yan, Non-volatile memory and method with reduced neighboring field errors.
  346. Cernea, Raul-Adrain; Li, Yan, Non-volatile memory and method with reduced neighboring field errors.
  347. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced neighboring field errors.
  348. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced neighboring field errors.
  349. Cernea, Raul Adrian; Li, Yan, Non-volatile memory and method with reduced source line bias errors.
  350. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced source line bias errors.
  351. Cernea, Raul-Adrian; Li, Yan; Khalid, Shahzad; Chan, Siu Lung, Non-volatile memory and method with shared processing for an aggregate of read/write circuits.
  352. Cernea, Raul-Adrian; Li, Yan; Khalid, Shahzad; Chan, Siu Lung, Non-volatile memory and method with shared processing for an aggregate of read/write circuits.
  353. Cernea,Raul Adrian; Li,Yan; Khalid,Shahzad; Chan,Siu Lung, Non-volatile memory and method with shared processing for an aggregate of read/write circuits.
  354. Paley, Alexander; Gorobets, Sergey Anatolievich; Zilberman, Eugene; Bennett, Alan David; Traister, Shai; Tomlin, Andrew; Wu, William S.; So, Bum Suck, Non-volatile memory and method with write cache partition management methods.
  355. Paley, Alexander; Gorobets, Sergey Anatolievich; Zilberman, Eugene; Bennett, Alan David; Traister, Shai; Tomlin, Andrew; Wu, William S.; So, Bum Suck, Non-volatile memory and method with write cache partitioning.
  356. Alrod, Idan; Sharon, Eran; Miwa, Toru; Hemink, Gerrit Jan; Koh, Yee Lih, Non-volatile memory and methods with asymmetric soft read points around hard read points.
  357. Alrod, Idan; Sharon, Eran; Miwa, Toru; Hemink, Gerrit Jan; Koh, Yee Lih, Non-volatile memory and methods with reading soft bits in non uniform schemes.
  358. Alrod, Idan; Sharon, Eran; Miwa, Toru; Hemink, Gerrit Jan; Mokhlesi, Nima, Non-volatile memory and methods with soft-bit reads while reading hard bits with compensation for coupling.
  359. Alrod, Idan; Sharon, Eron; Miwa, Toru; Hemink, Gerrit Jan; Mokhlesi, Nima, Non-volatile memory and methods with soft-bit reads while reading hard bits with compensation for coupling.
  360. Yuan, Jack H.; Haskell, Jacob, Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming.
  361. Yuan, Jack H.; Haskell, Jacob, Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming.
  362. Yuan, Jack H.; Haskell, Jacob, Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming.
  363. Yuan, Jack H.; Haskell, Jacob, Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming.
  364. Lutze,Jeffrey W.; Pham,Tuan; Higashitani,Masaaki, Non-volatile memory cells shaped to increase coupling to word lines.
  365. Harari, Eliyahou; Yuan, Jack H.; Samachisa, George; Chien, Henry, Non-volatile memory cells utilizing substrate trenches.
  366. Harari,Eliyahou; Yuan,Jack H.; Samachisa,George; Chien,Henry, Non-volatile memory cells utilizing substrate trenches.
  367. Harari,Eliyahou; Yuan,Jack H.; Samachisa,George; Chien,Henry, Non-volatile memory cells utilizing substrate trenches.
  368. Ghneim Said N. ; Fulford ; Jr. H. Jim, Non-volatile memory device having a floating gate with enhanced charge retention.
  369. Hutchison, Neil David; Young, Robert George, Non-volatile memory system allowing reverse eviction of data updates to non-volatile binary cache.
  370. Gorobets, Sergey Anatolievich, Non-volatile memory with adaptive handling of data writes.
  371. Li, Yan; Lin, Jason, Non-volatile memory with background data latch caching during erase operations.
  372. Li,Yan, Non-volatile memory with background data latch caching during program operations.
  373. Li,Yan, Non-volatile memory with background data latch caching during read operations.
  374. Li,Yan, Non-volatile memory with cache page copy.
  375. Sekar, Deepak Chandra; Mui, Man Lung; Mokhlesi, Nima, Non-volatile memory with compensation for variations along a word line.
  376. Chan, Siu Lung, Non-volatile memory with improved program-verify operations.
  377. Chan,Siu Lung, Non-volatile memory with improved program-verify operations.
  378. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  379. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  380. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  381. Mokhlesi,Nima, Non-volatile memory with improved sensing having bit-line lockout control.
  382. Tu, Loc; Hook, Charles Moana; Li, Yan, Non-volatile memory with linear estimation of initial programming voltage.
  383. Tu, Loc; Hook, Charles Moana; Li, Yan, Non-volatile memory with linear estimation of initial programming voltage.
  384. Li,Yan, Non-volatile memory with managed execution of cached data.
  385. Lin, Jason, Non-volatile memory with management of a pool of update memory blocks based on each block's activity and data order.
  386. Huang, Jianmin; Avila, Chris; Gavens, Lee M.; Sprouse, Steven; Gorobets, Sergey Anatolievich; Hutchinson, Neil David, Non-volatile memory with multi-gear control using on-chip folding of data.
  387. Paudel, Rajan; Sabde, Jagdish; Magia, Sagar; Nguyen, Khanh, Non-volatile memory with multi-word line select for defect detection operations.
  388. Tsao, Shou-Chang; Li, Yan, Non-volatile memory with power-saving multi-pass sensing.
  389. Cernea, Raul Adrian, Non-volatile memory with predictive programming.
  390. Li, Yan; Tu, Loc; Hook, Charles Moana, Non-volatile memory with reduced erase/write cycling during trimming of initial programming voltage.
  391. Moogat,Farookh; Cernea,Raul Adrian; Tsao,Shouchang; Tseng,Tai Yuan, Non-volatile memory with redundancy data buffered in data latches for defective locations.
  392. Cernea, Raul Adrian, Non-volatile memory with redundancy data buffered in remote buffer circuits.
  393. Cernea, Raul-Adrian, Non-volatile memory with redundancy data buffered in remote buffer circuits.
  394. Cernea,Raul Adrian, Non-volatile memory with redundancy data buffered in remote buffer circuits.
  395. Kanamori Kohji,JPX, Non-volatile semiconductor memory device and data erase method of non-volatile semiconductor memory device.
  396. Chen, Jian; Tanaka, Tomoharu, Non-volatile semiconductor memory with large erase blocks storing cycle counts.
  397. Chen,Jian; Tanaka,Tomoharu, Non-volatile semiconductor memory with large erase blocks storing cycle counts.
  398. Chen,Jian; Tanaka,Tomoharu, Non-volatile semiconductor memory with large erase blocks storing cycle counts.
  399. Chen,Jian; Tanaka,Tomoharu, Non-volatile semiconductor memory with large erase blocks storing cycle counts.
  400. Mokhlesi, Nima, Nonvolatile memories with shaped floating gates.
  401. Dong, Yingda; Oowada, Ken; Hsu, Cynthia, Nonvolatile memory and method for improved programming with reduced verify.
  402. Dong, Yingda; Oowada, Ken; Hsu, Cynthia, Nonvolatile memory and method for improved programming with reduced verify.
  403. Li, Yan; Fong, Yupin Kawing; Mokhlesi, Nima, Nonvolatile memory and method for on-chip pseudo-randomization of data within a page and between pages.
  404. Ramachandra, Venkatesh Prasad, Nonvolatile memory and method with improved I/O interface.
  405. Li, Yan; Fong, Yupin Kawing; Chan, Siu Lung, Nonvolatile memory and method with reduced program verify by ignoring fastest and/or slowest programming bits.
  406. Li, Yan; Fong, Yupin Kawing; Chan, Siu Lung, Nonvolatile memory and method with reduced program verify by ignoring fastest and/or slowest programming bits.
  407. Cernea, Raul-Adrian, Nonvolatile memory with correlated multiple pass programming.
  408. Cernea, Raul-Adrian, Nonvolatile memory with correlated multiple pass programming.
  409. Cernea, Raul-Adrian, Nonvolatile memory with correlated multiple pass programming.
  410. Cernea, Raul-Adrian, Nonvolatile memory with index programming and reduced verify.
  411. Brandman, Yigal, Nonvolatile memory with modulated error correction coding.
  412. Brandman, Yigal; Conley, Kevin M., Nonvolatile memory with variable read threshold.
  413. Paley, Alexander; Gorobets, Sergey Anatolievich; Zilberman, Eugene; Bennett, Alan David; Traister, Shai; Tomlin, Andrew; Wu, William S.; So, Bum Suck, Nonvolatile memory with write cache having flush/eviction methods.
  414. Arai Hajime,JPX, Nonvolatile semiconductor memory device and method of manufacturing the same.
  415. Shepard, Zac; Sprouse, Steven T.; Avila, Chris Nga Yee; Hutchison, Neil David, Obsolete block management for data retention in nonvolatile memory.
  416. Louie, Kenneth; Nguyen, Khanh; Nguyen, Hao, Operational amplifier methods for charging of sense amplifier internal nodes.
  417. Avila, Chris Nga Yee; Dong, Yingda; Mui, Man Lung, Optimized configurable NAND parameters.
  418. Avila, Chris; Dong, Yingda; Mui, Man, Optimized configurable NAND parameters.
  419. Tam, Eugene Jinglun, Packaging of high performance system topology for NAND memory systems.
  420. Tam, Eugene Jinglun, Packaging of high performance system topology for NAND memory systems.
  421. Li, Yan; Duzly, Yacov; Tsai, Frank Wanfang; Marcu, Alon, Peak current management in multi-die non-volatile memory devices.
  422. Gorobets, Sergey Anatolievich; Conley, Kevin M., Pipelined data relocation and improved chip architectures.
  423. Gorobets, Sergey; Conley, Kevin, Pipelined data relocation and improved chip architectures.
  424. Chibvongodze, Hardwell; Sakai, Manabu; Kamei, Teruhiko, Pointer based column selection techniques in non-volatile memories.
  425. Yang, Nian; Luo, Ting; Huang, Jianmin, Power management for nonvolatile memory array.
  426. Yang, Nian; Luo, Ting; Huang, Jianmin, Power management for nonvolatile memory array.
  427. Cernea, Raul-Adrian, Predictive programming in non-volatile memory.
  428. Cernea, Raul Adrian, Process for 3D NAND memory with socketed floating gate cells.
  429. Cernea, Raul Adrian, Process for 3D NAND memory with socketed floating gate cells.
  430. Carmody Kevin F. (Portland OR) Lemmond Theodore C. (Beaverton OR), Process for eliminating effect of polysilicon stringers in semiconductor devices.
  431. Cernea, Raul Adrian, Process for word line connections in 3D memory.
  432. Eliyahou Harari ; Jack H. Yuan ; George Samachisa, Processing techniques for making a dual floating gate EEPROM cell array.
  433. Harari Eliyahou ; Yuan Jack H. ; Samachisa George, Processing techniques for making a dual floating gate EEPROM cell array.
  434. Li, Yan, Program algorithm with staircase waveform decomposed into multiple passes.
  435. Hemink, Gerrit Jan, Programming non-volatile memory with high resolution variable initial programming pulse.
  436. Hemink, Gerrit Jan, Programming non-volatile memory with high resolution variable initial programming pulse.
  437. Hemink, Gerrit Jan, Programming non-volatile memory with high resolution variable initial programming pulse.
  438. Hemink, Gerrit Jan, Programming non-volatile memory with variable initial programming pulse.
  439. Hemink, Gerrit Jan, Programming non-volatile memory with variable initial programming pulse.
  440. Mokhlesi, Nima, Programming of nonvolatile memory with verify level dependent on memory state and programming loop count.
  441. Li, Yan; Fong, Yupin Kawing; Mokhlesi, Nima, Pseudo random and command driven bit compensation for the cycling effects in flash memory.
  442. Tuers, Daniel; Manohar, Abhijeet; Weinberg, Yoav; Barrocas, Milton Lourenco, Pulse mechanism for memory circuit interruption.
  443. Huang, Yichao; Avila, Chris; Lee, Dana; Chin, Henry; Dutta, Deepanshu; Puthenthermadam, Sarath; Raghu, Deepak, Read scrub with adaptive counter management.
  444. Pan, Feng; Pham, Trung; Woo, Byungki, Read, verify word line reference voltage to track source level.
  445. Chan,Siu Lung; Cernea,Raul Adrian, Reference sense amplifier for non-volatile memory.
  446. Lee, Dana; Mokhlesi, Nima; Sekar, Deepak Chandra, Regulation of source potential to combat cell source IR drop.
  447. Conley,Kevin M.; Cedar,Yoram, Retargetable memory cell redundancy methods.
  448. Sinclair, Alan Welsh, Ring bus structure and its use in flash memory systems.
  449. Koh, Pao-Ling; Kuo, Tien-Chien, Saving of data in cases of word-line to word-line short in memory arrays.
  450. Yuan, Jack H.; Harari, Eliyahou; Fong, Yupin K.; Samachisa, George, Scalable self-aligned dual floating gate memory cell array and methods of forming the array.
  451. Yuan, Jack H.; Harari, Eliyahou; Fong, Yupin K.; Samachisa, George, Scalable self-aligned dual floating gate memory cell array and methods of forming the array.
  452. Yuan, Jack H.; Harari, Eliyahou; Fong, Yupin K.; Samachisa, George, Scalable self-aligned dual floating gate memory cell array and methods of forming the array.
  453. Yuan,Jack H.; Harari,Eliyahou; Fong,Yupin K.; Samachisa,George, Scalable self-aligned dual floating gate memory cell array and methods of forming the array.
  454. Bennett, Alan David; Gorobets, Sergey Anatolievich; Tomlin, Andrew; Schroter, Charles, Scheduling of housekeeping operations in flash memory systems.
  455. Bennett, Alan David; Gorobets, Sergey Anatolievich; Tomlin, Andrew; Schroter, Charles, Scheduling of housekeeping operations in flash memory systems.
  456. Bennett,Alan David; Gorobets,Sergey Anatolievich; Tomlin,Andrew; Schroter,Charles, Scheduling of housekeeping operations in flash memory systems.
  457. Bennett,Alan David; Gorobets,Sergey Anatolievich, Scratch pad block.
  458. Avila, Chris; Huang, Jianmin; Lee, Dana, Scrub techniques for use with dynamic read.
  459. Desai, Amul Dhirajbhai; Nguyen, Hao; Lee, Seungpil; Mui, Man, Segmentation of blocks for faster bit line settling/recovery in non-volatile memory devices.
  460. Avila, Chris Nga Yee; Dong, Yingda; Mui, Man Lung, Select transistor tuning.
  461. Avila, Chris; Dong, Yingda; Mui, Man, Select transistor tuning.
  462. Raghu, Deepak; Dusija, Gautam A.; Avila, Chris; Dong, Yingda; Mui, Man; Costa, Xiying; Koh, Pao-Ling, Selection of data for redundancy calculation by likely error rate.
  463. Raghu, Deepak; Dusija, Gautam A.; Avila, Chris; Dong, Yingda; Mui, Man; Costa, Xiying; Koh, Pao-Ling, Selection of data for redundancy calculation by likely error rate.
  464. Avila, Chris Nga Yee; Dusija, Gautam Ashok; Chen, Jian; Dong, Yingda; Mui, Man Lung; Lee, Seungpil; Mak, Alexander Kwok-Tung, Selection of data for redundancy calculation in three dimensional nonvolatile memory.
  465. Avila, Chris; Dusija, Gautam; Chen, Jian; Dong, Yingda; Mui, Man; Lee, Seungpil; Mak, Alex, Selection of data for redundancy calculation in three dimensional nonvolatile memory.
  466. Yang, Nian; Avila, Chris; Sprouse, Steven T.; Lee, Aaron, Selective in-situ retouching of data in nonvolatile memory.
  467. Huang, Jianmin; Manohar, Abhijeet; Avila, Chris Nga Yee; Dusija, Gautam Ashok, Selective protection of lower page data during upper page write.
  468. Reddy, Gautham Kumar; Yang, Niles; Bauche, Alexandra, Selective sampling of data stored in nonvolatile memory.
  469. Lutze,Jeffrey; Pham,Tuan; Chien,Henry; Matamis,George, Self aligned non-volatile memory cell and process for fabrication.
  470. Wang, Sung-En; Huynh, Jonathan; Choi, Steve; Park, Jongmin, Self-adjusting regulation current for memory array source line.
  471. Lutze,Jeffrey W.; Pham,Tuan; Chien,Henry; Matamis,George, Self-aligned non-volatile memory cell.
  472. Yuan,Jack H., Self-aligned trench filling for narrow gap isolation regions.
  473. Yuan, Jack H, Self-aligned trenches with grown dielectric for high coupling ratio in semiconductor devices.
  474. Keller, J. Dennis; Lee, Roger R., Semiconductor constructions comprising stacks with floating gates therein.
  475. Sato Kazuo,JPX, Semiconductor device and method of manufacturing the same.
  476. Sato, Kazuo, Semiconductor device and method of manufacturing the same.
  477. Komori, Kazuhiro; Meguro, Satoshi; Nishimoto, Toshiaki; Kume, Hitoshi; Yamamoto, Hideaki, Semiconductor integrated circuit device and method of manufacturing the same.
  478. Gil Gyoung-Seon,KRX, Semiconductor memory device with improved current control through an access transistor and method thereof.
  479. Sato Kazuo,JPX ; Ueda Kenji,JPX ; Morita Michio,JPX ; Noro Fumihiko,JPX ; Miyamoto Kyoko,JPX ; Onishi Hideaki,JPX ; Umeda Kazuo,JPX ; Kubo Kazuya,JPX, Semiconductor memory having a tunneling region.
  480. Mokhlesi,Nima, Sensing with bit-line lockout control in non-volatile memory.
  481. Pan, Feng; Guhados, Shankar, Sigma delta over-sampling charge pump analog-to-digital converter.
  482. Sharon, Eran; Li, Yan; Lee, Dana; Alrod, Idan, Simultaneous sensing of multiple wordlines and detection of NAND failures.
  483. Wang, Sung-En; Huynh, Jonathan; Park, Jongmin, Single ended word line and bit line time constant measurement.
  484. Hsu, Jonathan; Dusija, Gautam, Smart reread in nonvolatile memory.
  485. Auclair, Daniel L.; Craig, Jeffrey; Mangan, John S.; Norman, Robert D.; Guterman, Daniel C.; Mehrotra, Sanjay, Soft errors handling in EEPROM devices.
  486. Auclair, Daniel L.; Craig, Jeffrey; Mangan, John S.; Norman, Robert D.; Guterman, Daniel C.; Mehrotra, Sanjay, Soft errors handling in EEPROM devices.
  487. Auclair, Daniel L.; Craig, Jeffrey; Mangan, John S.; Norman, Robert D.; Guterman, Daniel C.; Mehrotra, Sanjay, Soft errors handling in EEPROM devices.
  488. Auclair,Daniel L.; Craig,Jeffrey; Mangan,John S.; Norman,Robert D.; Guterman,Daniel C.; Mehrotra,Sanjay, Soft errors handling in EEPROM devices.
  489. Gorobets, Sergey Anatolievich; Bennett, Alan David; Zilberman, Eugene, Spare block management of non-volatile memories.
  490. Dusija, Gautam A.; Dong, Yingda; Avila, Chris; Raghu, Deepak; Koh, Pao-Ling, String dependent parameter setup.
  491. Dusija, Gautam A.; Dong, Yingda; Avila, Chris; Raghu, Deepak; Koh, Pao-Ling, String dependent parameter setup.
  492. Liu, Bo; Li, Yan; Mak, Alexander Kwok-Tung; Wang, Chi-Ming; Tam, Eugene Jinglun; Kim, Kwang-Ho, Structure and method for shuffling data within non-volatile memory devices.
  493. Liu, Bo; Li, Yan; Mak, Alexander Kwok-Tung; Wang, Chi-Ming; Tam, Eugene Jinglun; Kim, Kwang-ho, Structure and method for shuffling data within non-volatile memory devices.
  494. Samachisa, George, Substrate electron injection techniques for programming non-volatile charge storage memory cells.
  495. Samachisa,George, Substrate electron injection techniques for programming non-volatile charge storage memory cells.
  496. Samachisa,George, Substrate electron injection techniques for programming non-volatile charge storage memory cells and for controlling program disturb.
  497. Conley, Kevin M.; Cedar, Yoram, System and method for use of on-chip non-volatile memory write cache.
  498. Avila, Chris Nga Yee; Dusija, Gautam Ashok; Dong, Yingda; Chen, Jian; Mui, Man Lung; Mak, Alexander Kwok-Tung; Lee, Seungpil, System for maintaining back gate threshold voltage in three dimensional NAND memory.
  499. Navon, Ariel; Sharon, Eran, Systems and methods for fast bit error rate estimation.
  500. Huang, Jianmin; Lei, Bo; Wan, Jun; Hemink, Gerrit Jan; Sprouse, Steven T.; Lee, Dana, Systems and methods for lower page writes.
  501. Huang, Jianmin; Lei, Bo; Wan, Jun; Hemink, Gerrit Jan; Sprouse, Steven T.; Lee, Dana, Systems and methods for lower page writes.
  502. Hutchison, Neil David; Bennett, Alan David; Jackson, Robert, Systems and methods for operating multi-bank nonvolatile memory.
  503. Dusija, Gautam A.; Avila, Chris; Raghu, Deepak; Dong, Yingda; Mui, Man; Mak, Alexander Kwok-Tung; Koh, Pao-Ling, Systems and methods for partial page programming of multi level cells.
  504. Lasser, Menahem, Systems and methods for scheduling post-write read in nonvolatile memory.
  505. Avila, Chris Nga Yee; Dong, Yingda; Mui, Man Lung, Systems and methods to avoid false verify and false read.
  506. Ng, Yew Yin; Kochar, Mrinal; Yang, Niles; Dutta, Deepanshu, Targeted copy of data relocation.
  507. Yuan Jack H. (Cupertino CA) Samachisa Gheorghe (San Jose CA), Technique of forming over an irregular surface a polysilicon layer with a smooth surface.
  508. Tsai, Wanfang, Techniques for accessing column selecting shift register with skipped entries in non-volatile memories.
  509. Magia, Sagar; Sabde, Jagdish; Kuo, Tien-Chien; Pachamuthu, Jayavel, Techniques for detecting broken word lines in non-volatile memories.
  510. Sabde, Jagdish; Magia, Sagar; Pachamuthu, Jayavel; Raghu, Deepak, Techniques for detection and treating memory hole to local interconnect marginality defects.
  511. Sabde, Jagdish; Magia, Sagar; Pachamuthu, Jayavel, Techniques for determining local interconnect defects.
  512. Nguyen, Hao; Mui, Man; Nguyen, Khanh; Lee, Seungpil; Ishigaki, Toru; Dong, Yingda, Techniques for programming of select gates in NAND memory.
  513. Nguyen, Hao; Mui, Man; Nguyen, Khanh; Lee, Seungpil; Ishigaki, Toru; Dong, Yingda, Techniques for programming of select gates in NAND memory.
  514. Nguyen, Hao; Mui, Man; Nguyen, Khanh; Lee, Seungpil; Ishigaki, Toru; Dong, Yingda, Techniques for programming of select gates in NAND memory.
  515. Khandelwal, Anubhav; Lee, Dana; Manohar, Abhijeet; Chin, Henry; Dusija, Gautam; Tuers, Daniel; Avila, Chris; Hsu, Cynthia, Techniques for reducing read disturb in partially written blocks of non-volatile memory.
  516. Yuh, Jong Hak, Techniques for the fast settling of word lines in NAND flash memory.
  517. Gorobets, Sergey Anatolievich; Young, Robert George, Techniques of maintaining logical to physical mapping information in non-volatile memory systems.
  518. Gorobets, Sergey Anatolievich; Chen, Jian, Three dimensional nonvolatile memory with variable block capacity.
  519. Raghu, Deepak; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man; Koh, Pao-Ling, Three-dimensional NAND memory with adaptive erase.
  520. Raghu, Deepak; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man; Koh, Pao-Ling, Three-dimensional NAND memory with adaptive erase.
  521. Louie, Kenneth; Nguyen, Khanh, Time domain ramp rate control for erase inhibit in flash memory.
  522. Gorobets, Sergey Anatolievich; Parker, Liam Michael; Hutchison, Neil David; Young, Robert George; Bennett, Alan David, Tracking and handling of super-hot data in non-volatile memory systems.
  523. Yuan Jack H., Triple polysilicon flash EEPROM arrays having a separate erase gate for each row of floating gates, and methods of manufacturing such arrays.
  524. Gorobets, Sergey Anatolievich; Avila, Chris, Update block programming order.
  525. Gorobets, Sergey Anatolievich; Avila, Chris, Update block programming order.
  526. Li, Yan; Yero, Emilio, Use of data latches in cache operations of non-volatile memories.
  527. Li,Yan; Yero,Emilio, Use of data latches in cache operations of non-volatile memories.
  528. Li,Yan; Cernea,Raul Adrian, Use of data latches in multi-phase programming of non-volatile memories.
  529. Li,Yan; Cernea,Raul Adrian, Use of data latches in multi-phase programming of non-volatile memories.
  530. Gorobets, Sergey Anatolievich; Young, Robert George; Bennett, Alan David, Use of guard bands and phased maintenance operations to avoid exceeding maximum latency requirements in non-volatile memory systems.
  531. Chen, Jian; Higashitani, Masaaki, Use of voids between elements in semiconductor structures for isolation.
  532. Chen,Jian; Higashitani,Masaaki, Use of voids between elements in semiconductor structures for isolation.
  533. Lee, Juan Carlos; Nguyen, Hao; Mui, Man; Kuo, Tien-chien; Mizutani, Yuki, Utilizing NAND strings in dummy blocks for faster bit line precharge.
  534. Tsai, Wanfang, Variable rate parallel to serial shift register.
  535. Tsai, Wanfang, Variable rate serial to parallel shift register.
  536. Louie, Kenneth; Nguyen, Khanh; Mui, Man, Voltage kick to non-selected word line during programming.
  537. Avila, Chris Nga Yee; Dong, Yingda; Mak, Alexander Kwok-Tung; Sprouse, Steven T., Weighted read scrub for nonvolatile memory.
  538. Avila, Chris; Dong, Yingda; Mak, Alexander Kwok-Tung; Sprouse, Steven T., Weighted read scrub for nonvolatile memory including memory holes.
  539. Hart, James V.; Louie, Kenneth; Nguyen, Khanh; Mui, Man, Word line kick during sensing: trimming and adjacent word lines.
  540. Hsu, Cynthia Hua-Ling; Lee, Aaron; Manohar, Abhijeet; Dutta, Deepanshu, Write abort detection for multi-state memories.
  541. Hsu, Cynthia Hua-Ling; Lee, Aaron; Manohar, Abhijeet; Dutta, Deepanshu, Write abort detection for multi-state memories.
  542. Avila, Chris Nga Yee; Dusija, Gautam Ashok; Chen, Jian; Kochar, Mrinal; Manohar, Abhijeet, Write operations for defect management in nonvolatile memory.
  543. Avila, Chris; Dusija, Gautam; Chen, Jian; Kochar, Mrinal; Manohar, Abhijeet, Write operations for defect management in nonvolatile memory.
  544. Avila, Chris Nga Yee; Dusija, Gautam Ashok; Chen, Jian; Mak, Alexander Kwok-Tung; Lee, Seungpil; Kochar, Mrinal; Koh, Pao-Ling, Write operations with full sequence programming for defect management in nonvolatile memory.
  545. Raghu, Deepak; Avila, Chris; Dusija, Gautam A.; Dong, Yingda, Write scheme for charge trapping memory.
  546. Raghu, Deepak; Avila, Chris; Dusija, Gautam A.; Dong, Yingda, Write scheme for charge trapping memory.
  547. Avila, Chris Nga Yee; Dusija, Gautam Ashok, Write sequence providing write abort protection.
  548. Kochar, Mrinal; Dusija, Gautam; Avila, Chris; Dong, Yingda; Mui, Man; Huang, Yichao; Raghu, Deepak, Zoned erase verify in three dimensional nonvolatile memory.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로