$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Low-temperature in-situ dry cleaning process for semiconductor wafers

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/306
출원번호 US-0509251 (1990-04-16)
발명자 / 주소
  • Moslehi Mehrdad M. (Dallas TX)
출원인 / 주소
  • Texas Instruments Incorporated (Dallas TX 02)
인용정보 피인용 횟수 : 242  인용 특허 : 0

초록

A low-temperature (650°C. to 800°C.) in-situ dry cleaning process (FIG. 2) for removing native oxide (and other contaminants) from a semiconductor surface can be used with either multi-wafer or single-wafer semiconductor device manufacturing reactors. A wafer is contacted with a dry cleaning mixture

대표청구항

A method off semiconductor multiprocessing for fabricating a semiconductor wafer in a sequence of more than one process step, comprising the steps of: during each transition between process steps, maintaining wafer temperature at a level high enough to substantially reduce temperature swings on the

이 특허를 인용한 특허 (242)

  1. Sneh, Ofer, ALD method and apparatus.
  2. Sneh,Ofer, ALD method and apparatus.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  11. Kikuchi Jun,JPX ; Fujimura Shuzo,JPX ; Iga Masao,JPX, Apparatus for fabricating semiconductor device and method for fabricating semiconductor device.
  12. Kikuchi Jun,JPX ; Fujimura Shuzo,JPX ; Iga Masao,JPX, Apparatus for fabricating semiconductor device and method for fabricating semiconductor device.
  13. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  14. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  15. Lubomirsky, Dmitry, Chamber with flow-through source.
  16. Lubomirsky, Dmitry, Chamber with flow-through source.
  17. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  18. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  19. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  20. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  21. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  22. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  23. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  24. Thrasher David L. ; Hearne John S. ; Ryle Lynn S., Contamination control in substrate processing system.
  25. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  26. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  27. Givens John H. ; Leiphart Shane B., Deposition chamber with a biased substrate configuration.
  28. Agarwal, Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  29. Agarwal, Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  30. Agarwal, Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  31. Agarwal, Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  32. Agarwal, Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  33. Agarwal,Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  34. Agarwal,Vishnu K., Device and method for protecting against oxidation of a conductive layer in said device.
  35. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  36. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  37. Balish Kenneth E. ; Nowak Thomas ; Tanaka Tsutomu ; Beals Mark, Dilute remote plasma clean.
  38. Yamazaki Shunpei,JPX ; Teramoto Satoshi,JPX ; Koyama Jun,JPX ; Ogata Yasushi,JPX ; Hayakawa Masahiko,JPX ; Osame Mitsuaki,JPX, Display switch with double layered gate insulation and resinous interlayer dielectric.
  39. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  40. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  41. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  42. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  43. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  44. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  45. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  46. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  47. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  48. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  49. Lam,Andrew; Kim,Yihwan; Kuppurao,Satheesh; Phan,See Eng; Lu,Xinliang; Kao,Chien Teh, Epitaxial deposition process and apparatus.
  50. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  51. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  52. Rodriguez Benjamin G. ; Gonzales Augusto J. ; Fritz ; Jr. Robert E. ; Meyers Freddy, Exhaust system for a semiconductor etcher that utilizes corrosive gas.
  53. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki, Fabrication method of semiconductor device.
  54. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki, Fabrication method of semiconductor device.
  55. Matsubara Yoshihisa (Tokyo JPX), Fabrication method of semiconductor device with refractory metal silicide formation by removing native oxide in hydrogen.
  56. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  57. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  58. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  59. Sun, Yeuh-Mao; Lin, Yan-Fei; Wu, Lin-Jun; Chen, Yen-Ming, Gate insulator pre-clean procedure.
  60. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  61. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  62. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  63. Han, Yong-Pil; Sawin, Herbert H., HF vapor phase wafer cleaning and oxide etching.
  64. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  65. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  66. Kikuchi Jun (Kawasaki JPX), Hydrogen radical processing.
  67. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  68. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  69. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  72. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  73. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  74. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  75. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  76. Keller, John; Smith, Noel; Boswell, Roderick; Scipioni, Lawrence; Charles, Christine; Sutherland, Orson, Magnetically enhanced, inductively coupled plasma source for a focused ion beam system.
  77. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  78. Carlson, David K; Comita, Paul B.; Riley, Norma B.; Du Bois, Dale R., Method and a system for sealing an epitaxial silicon layer on a substrate.
  79. David K. Carlson ; Dale R. Du Bois, Method and a system for sealing an epitaxial silicon layer on a substrate.
  80. David K. Carlson ; Dale R. Du Bois, Method and a system for sealing an epitaxial silicon layer on a substrate.
  81. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  82. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  83. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  84. Anand Gupta, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  85. Gupta Anand, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  86. Gupta Anand ; Wolff Stefan ; Galiano Maria, Method and apparatus for reducing particle generation by limiting DC bias spike.
  87. Seiji Muranaka JP; Cozy Ban JP; Akihiko Osaki JP, Method and apparatus for removing contaminants from the surface of a semiconductor wafer.
  88. Chaudhry,Samir; Roy,Pradip K., Method and structure for graded gate oxides on vertical and non-planar surfaces.
  89. Dip, Anthony; Leith, Allen John; Oh, Seungho, Method and system for removing an oxide from a substrate.
  90. Joo, Sung Jae, Method for cleaning a substrate in selective epitaxial growth process.
  91. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  92. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  93. Hwang Chul Ju,KRX, Method for cleaning wafer surface and a method for forming thin oxide layers.
  94. U'Ren, Gregory D., Method for elimination of contaminants prior to epitaxy.
  95. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki, Method for fabricating a semiconductor device.
  96. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki, Method for fabricating a semiconductor device.
  97. Shunpei Yamazaki JP; Satoshi Teramoto JP; Jun Koyama JP; Yasushi Ogata JP; Masahiko Hayakawa JP; Mitsuaki Osame JP, Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization.
  98. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  99. Fujimura Shuzo,JPX ; Kikuchi Jun,JPX, Method for hydrogen plasma down-flow processing and apparatus thereof.
  100. Hey H. Peter W ; Carlson David, Method for in-situ cleaning of native oxide from silicon surfaces.
  101. Brady, David Charles; Ma, Yi; Roy, Pradip Kumar, Method for making an integrated circuit device including a graded, grown, high quality gate oxide layer and a nitride layer.
  102. Agarwal,Vishnu K., Method for protecting against oxidation of a conductive layer in said device.
  103. Agarwal,Vishnu K., Method for protecting against oxidation of a conductive layer in said device.
  104. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  105. Mikagi Kaoru,JPX, Method of fabricating a salicide-structured MOS semiconductor device having a cobalt disilicied film.
  106. Kizuki Hirotaka,JPX, Method of fabricating a semiconductor device and method of cleaning a crystalline semiconductor surface.
  107. Ko, Jungmin, Method of fin patterning.
  108. Honeycutt Jeffrey ; Sharan Sujit, Method of forming a contact.
  109. Givens John H. ; Leiphart Shane B., Method of forming a sputtering apparatus.
  110. Nakanishi Toshiro (Kawasaki JPX) Sato Yasuhisa (Kawasaki JPX) Okuno Masaki (Kawasaki JPX), Method of forming thin silicon oxide film with high dielectric breakdown and hot carrier resistance.
  111. Kumar Pradip Roy ; Ranbir Singh, Method of making bipolar transistor semiconductor device including graded, grown, high quality oxide layer.
  112. Yamazaki,Shunpei; Ohtani,Hisashi; Hamatani,Toshiji, Method of manufacturing a semiconductor device.
  113. Yamazaki Shunpei,JPX ; Teramoto Satoshi,JPX ; Koyama Jun,JPX ; Ogata Yasushi,JPX ; Hayakawa Masahiko,JPX ; Osame Mitsuaki,JPX, Method of manufacturing a semiconductor device including reduction of a catalyst.
  114. David K Carlson ; Paul B. Comita ; Norma B. Riley ; Dale R. Du Bois, Method of sealing an epitaxial silicon layer on a substrate.
  115. Lur Water (Taipei TWX) Huang Cheng H. (Hsin-Chu TWX), Method to eliminate polycide peeling.
  116. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  117. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  118. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  119. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  120. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  121. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  122. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  123. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  124. Roy, Kumar Pradip; Singh, Ranbir, Non-volatile memory semiconductor device including a graded, grown, high quality control gate oxide layer and associated methods.
  125. Roy, Kumar Pradip; Singh, Ranbir, Non-volatile memory semiconductor device including a graded, grown, high quality oxide layer and associated methods.
  126. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  127. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  128. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  129. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  130. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  131. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  132. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  133. Yamazaki Shunpei,JPX, Photoelectric conversion device and method manufacturing same.
  134. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  135. Keller, John; Smith, Noel; Boswell, Roderick; Scipioni, Lawrence; Charles, Christine; Sutherland, Orson, Plasma source for a focused ion beam system.
  136. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  137. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  138. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  139. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  140. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  141. Arkadii V. Samoilov ; Dale R. DuBois ; Bradley M. Curelop ; David R. Carlson ; Paul B. Comita, Process and apparatus for cleaning a silicon surface.
  142. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  143. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  144. Sato Nobuhiko,JPX ; Yonehara Takao,JPX ; Sakaguchi Kiyofumi,JPX, Process for producing semiconductor substrate by heat treating.
  145. Sato Nobuhiko,JPX ; Yonehara Takao,JPX ; Sakaguchi Kiyofumi,JPX, Process for producing semiconductor substrate by heating to flatten an unpolished surface.
  146. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  147. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  148. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  149. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  150. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  151. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  152. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  153. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  154. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  155. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  156. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  157. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  158. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  159. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  160. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  161. Cheng, Yu-Hung; Lin, Yi-Hung; Lee, Tze-Liang; Li, Chii-Horng, Reducing variation by using combination epitaxy growth.
  162. Roth, J. Reece, Remote exposure of workpieces using a plasma.
  163. J. Reece Roth, Remote exposure of workpieces using a recirculated plasma.
  164. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  165. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  166. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  167. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  168. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  169. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  170. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  171. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  172. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  173. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  174. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  175. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  176. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  177. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  178. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  179. Cheng, Yu-Hung; Li, Chii-Horng; Lee, Tze-Liang, Selective etching in the formation of epitaxy regions in MOS devices.
  180. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  181. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  182. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  183. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  184. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  185. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  186. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  187. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  188. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  189. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  190. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  191. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor active region of TFTs having radial crystal grains through the whole area of the region.
  192. Yamazaki Shunpei,JPX ; Teramoto Satoshi,JPX ; Koyama Jun,JPX ; Ogata Yasushi,JPX ; Hayakawa Masahiko,JPX ; Osame Mitsuaki,JPX, Semiconductor device and fabrication method thereof.
  193. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki, Semiconductor device and fabrication method thereof.
  194. Shunpei Yamazaki JP; Satoshi Teramoto JP; Jun Koyama JP; Yasushi Ogata JP; Masahiko Hayakawa JP; Mitsuaki Osame JP; Hisashi Ohtani JP; Toshiji Hamatani JP, Semiconductor device and its manufacturing method.
  195. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor device and its manufacturing method.
  196. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor device and its manufacturing method.
  197. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki; Ohtani,Hisashi; Hamatani,Toshiji, Semiconductor device and its manufacturing method.
  198. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor device and method for fabricating the same.
  199. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor device and method for fabricating the same.
  200. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki; Ohtani,Hisashi; Hamatani,Toshiji, Semiconductor device and method for fabricating the same.
  201. Yamazaki, Shunpei; Teramoto, Satoshi; Koyama, Jun; Ogata, Yasushi; Hayakawa, Masahiko; Osame, Mitsuaki; Ohtani, Hisashi; Hamatani, Toshiji, Semiconductor device and method of fabricating same.
  202. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki; Ohtani,Hisashi; Hamatani,Toshiji, Semiconductor device and method of fabricating same.
  203. Yamazaki, Shunpei, Semiconductor device and method of manufacturing the same.
  204. Yamazaki,Shunpei; Teramoto,Satoshi; Koyama,Jun; Ogata,Yasushi; Hayakawa,Masahiko; Osame,Mitsuaki; Ohtani,Hisashi; Hamatani,Toshiji, Semiconductor device having a crystalline semiconductor film.
  205. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  206. Kim, Dong Hyuk; Shin, Dongsuk; Kim, Myungsun; Chung, Hoi Sung, Semiconductor devices and methods of fabricating the same.
  207. Kim, Dong Hyuk; Shin, Dongsuk; Kim, Myungsun; Chung, Hoi Sung, Semiconductor devices and methods of fabricating the same.
  208. Kim, Dong Hyuk; Shin, Dongsuk; Kim, Myungsun; Chung, Hoi Sung, Semiconductor devices and methods of fabricating the same.
  209. Kim, Dong Hyuk; Shin, Dongsuk; Kim, Myungsun; Chung, Hoi Sung, Semiconductor devices including an epitaxial layer with a slanted surface.
  210. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  211. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  212. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  213. Sun Yi-Lin (Taipei TWX) Chao Ying-Chen (Hsin-chu TWX), Semiconductor substrate cleaning process.
  214. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  215. Yamazaki, Shunpei; Koyama, Jun; Miyanaga, Akiharu; Fukunaga, Takeshi, Semiconductor thin film and method of manufacturing the same and semiconductor device and method of manufacturing the same.
  216. Yamazaki,Shunpei; Koyama,Jun; Miyanaga,Akiharu; Fukunaga,Takeshi, Semiconductor thin film and method of manufacturing the same and semiconductor device and method of manufacturing the same.
  217. Huston, Joel M., Showerhead assembly.
  218. Capellini, Giovanni; Masini, Gianlorenzo; Gunn, III, Lawrence C.; Witzens, Jeremy; White, Joseph W., Si surface cleaning for semiconductor circuits.
  219. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  220. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  221. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  222. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  223. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  224. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  225. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  226. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  227. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  228. Matsui, Hidefumi; Moriya, Tsuyoshi; Narushima, Masaki, Substrate cleaning method and substrate cleaning device.
  229. Shishiguchi Seiichi,JPX, Substrate surface treatment method capable of removing a spontaneous oxide film at a relatively low temperature.
  230. Fujimura,Shuzo; Takamatsu,Toshiyuki, Surface treatment method and equipment.
  231. Chen, Yuanning; Chetlur, Sundar Srinivasan; Roy, Pradip Kumar, TWO-STEP OXIDATION PROCESS FOR OXIDIZING A SILICON SUBSTRATE WHEREIN THE FIRST STEP IS CARRIED OUT AT A TEMPERATURE BELOW THE VISCOELASTIC TEMPERATURE OF SILICON DIOXIDE AND THE SECOND STEP IS CARRIE.
  232. Miura, Tatsuya; Ozawa, Wataru; Fukasawa, Kimihiro; Kazama, Kazunori, Temperature control method, control apparatus, and plasma processing apparatus.
  233. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  234. Adam, Thomas N.; Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander; Sadana, Devendra K.; Shahidi, Ghavam G., Thin body semiconductor devices.
  235. Yamazaki, Shunpei; Miyanaga, Akiharu; Koyama, Jun; Fukunaga, Takeshi, Thin film semiconductor device and its manufacturing method.
  236. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  237. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  238. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  239. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  240. Avanzino, Steven C.; Wang, Larry Yu, Use of gaseous silicon hydrides as a reducing agent to remove re-sputtered silicon oxide.
  241. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  242. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트