$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

High-performance pipelined central processor for predicting the occurrence of executing single-cycle instructions and mu 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/22
출원번호 US-0211977 (1988-06-27)
발명자 / 주소
  • Beckwith Robert F. (Framingham MA) Johnson Neil J. (Burlington MA) Irukulla Suren (Holliston MA) Schwartz Steven (Sudbury MA) Mohapatra Nihar (Milford MA)
출원인 / 주소
  • Prime Computer, Inc. (Framingham MA 02)
인용정보 피인용 횟수 : 112  인용 특허 : 0

초록

A pipelined central processor capable of executing both single-cycle instructions and multicycle instructions is provided. An instruction fetch stage of the processor includes an instruction cache memory and a prediction cache memory that are commonly addressed by a program counter register. The ins

대표청구항

Digital processing apparatus for executing stored program instructions including single-cycle instructions and multicycle instructions during multiple processing cycles, comprising: instruction memory means for providing said program instructions in response to program addresses and for providing mi

이 특허를 인용한 특허 (112)

  1. Steven M. Hoffberg ; Linda I. Hoffberg-Borghesani, Adaptive pattern recognition based control system and method.
  2. Hoffberg,Linda Irene; Hoffberg,Steven M., Adaptive pattern recognition based controller apparatus and method and human-factored interface therefore.
  3. Hoffberg, Steven M.; Hoffberg-Borghesani, Linda I., Adaptive pattern recognition based controller apparatus and method and human-interface therefore.
  4. Farrell Mark Steven ; Krumm Barry Watson ; Navarro Jennifer Serena Almoradie ; Webb Charles Franklin, Addressing extended memory using millicode by concatenating a small millicode address and address extension data.
  5. Hoffberg, Steven M.; Hoffberg-Borghesani, Linda I., Alarm system controller and a method for controlling an alarm system.
  6. Sunayama, Ryuichi; Inoue, Aiichirou; Ukai, Masaki, Apparatus and method for controlling instructions at time of failure of branch prediction.
  7. Hilgendorf Rolf,DEX ; Laub Oliver,DEX ; Tast Hans-Werner,DEX, Apparatus and method for performing subroutine call and return operations.
  8. Isaman David L., Apparatus for detecting and executing traps in a superscalar processor.
  9. Isaman David L. (San Diego CA), Apparatus for detecting and executing traps in a superscalar processor.
  10. Pflum Marty L., Apparatus for efficient instruction execution via variable issue and variable control vectors per issue.
  11. Adrian Carbine ; Glenn J. Hinton ; Frank S. Smith, Apparatus for issuing instructions and reissuing a previous instructions by recirculating using the delay circuit.
  12. Ekner, Hartvig W. J.; Stribaek, Morten; Laursen, Soeren R. F., Binary polynomial multiplier.
  13. Sites Richard Lee ; Witek Richard T., Branch performance in high speed processor.
  14. Van Dyke Korbin S. ; Stiles David R. ; Favor John G., Cache memory system for dynamically altering single cache memory line as either branch target entry or prefetch instruct.
  15. Luick David Arnold, Circuit arrangement and method of speculative instruction execution utilizing instruction history caching.
  16. Underwood Keith Frederick ; Durante Richard Joseph, Circuitry and method for performing branching without pipeline delay.
  17. Copeland, George P.; Conner, Michael H.; Flurry, Gregory A., Cofetching in a command cache.
  18. Seal, David James; Dornan, Christopher Bentley, Configuration control within data processing systems.
  19. Marty L. Pflum, Control bit vector storage for a microprocessor.
  20. Pflum Marty L., Control bit vector storage for storing control vectors corresponding to instruction operations in a microprocessor.
  21. Nevill, Edward Colles; Devereux, Ian Victor, Data processing apparatus and method for saving return state.
  22. Seal, David James; Nevill, Edward Colles, Data processing using multiple instruction sets.
  23. Ohshima Toshiharu (Kawasaki JPX) Nakada Tatsumi (Kawasaki JPX), Data processor and method of controlling the same.
  24. Copeland, George P.; Conner, Michael H.; Flurry, Gregory A., Detecting and handling affinity breaks in web applications.
  25. Carrick, James; Szpak, Peter, Determining stack usage of generated code from a model.
  26. Copeland, George P.; Conner, Michael H.; Flurry, Gregory A., Distributed execution coordination for web caching with dynamic content.
  27. Blomgren James S. ; Richter David E., Dual-instruction-set architecture CPU with hidden software emulation mode.
  28. Hoffberg, Steven M.; Hoffberg-Borghesani, Linda Irene, Ergonomic man-machine interface incorporating adaptive pattern recognition based control system.
  29. Steven M. Hoffberg ; Linda I. Hoffberg-Borghesani, Ergonomic man-machine interface incorporating adaptive pattern recognition based control system.
  30. Stribaek, Morten; Paillier, Pascal, Extended precision accumulator.
  31. Butcher, David John, Function calling mechanism with embedded index for a handler program and an embedded immediate value for passing a parameter.
  32. Hoffberg Steven M. ; Hoffberg-Borghesani Linda I., Human factored interface incorporating adaptive pattern recognition based controller apparatus.
  33. Sato Taizo (Kawasaki JPX), Information processing apparatus with parallel instruction decoding.
  34. Miki, Yoshio, Information processor.
  35. Shimada Kentaro,JPX ; Hanawa Makoto,JPX ; Yamamoto Kazumichi,JPX ; Kaneko Kenji,JPX, Instruction branching method and a processor.
  36. Kuslak John S. ; Johnson David C. ; Lucas Gary J. ; Engelbrecht Kenneth L., Instruction flow control for an instruction processor.
  37. Nevill,Edward Colles, Instruction interpretation within a data processing system.
  38. Alferness Merwin H. (New Brighton MN) Kuslak John S. (Blaine MN) Vasquez Mark A. (Circle Pines MN) Kerzman Joseph P. (Spring Lake Park MN) Collins Eric S. (Naperville IL), Instruction processor control system using separate hardware and microcode control signals to control the pipelined exec.
  39. Copeland, George P.; Conner, Michael H.; Flurry, Gregory A., Integrated JSP and command cache for web applications with dynamic content.
  40. Hoffberg, Steven Mark, Intelligent electronic appliance system and method.
  41. Nevill,Edward Colles, Intercalling between native and non-native instruction sets.
  42. Hoffberg, Steven M.; Hoffberg-Borghesani, Linda I., Internet appliance system and method.
  43. Hoffberg, Steven M.; Hoffberg-Borghesani, Linda I., Internet appliance system and method.
  44. Patel, Mukesh K., Java hardware accelerator using microcode engine.
  45. Patel,Mukesh K., Java hardware accelerator using microcode engine.
  46. Patel, Mukesh K.; Raval, Udaykumar R.; Vyas, Harihar J., Java hardware accelerator using thread manager.
  47. Patel Mukesh K. ; Kamdar Jay ; Ranganath V. R., Java virtual machine hardware for RISC and CISC processors.
  48. Patel, Mukesh K; Kamdar, Jay; Ranganath, Veeraganti R., Java virtual machine hardware for RISC and CISC processors.
  49. Patel,Mukesh K.; Kamdar,Jay; Ranganath,V. R., Java virtual machine hardware for RISC and CISC processors.
  50. Brian S. Hausauer ; Siamak Tavallaei, Lower address line prediction and substitution.
  51. Nguyen,Hung T., Mechanism and method for reducing pipeline stalls between nested calls and digital signal processor incorporating the same.
  52. McKeen, Francis X.; Adler, Michael C.; Emer, Joel S.; Nix, Robert P.; Sager, David J.; Lowney, P. Geoffrey, Mechanism for executing computer instructions in parallel.
  53. Taylor Michael Demar, Mechanism for maintaining data coherency in a branch history instruction cache.
  54. Hoffberg, Steven; Hoffberg-Borghesani, Linda, Media recording device with packet data interface.
  55. Nevill, Edward Colles, Memory recycling in computer systems.
  56. Liu Kin-Yip ; Mital Millind ; Shoemaker Kenneth, Method and apparatus for branch execution on a multiple-instruction-set-architecture microprocessor.
  57. Kasper, Christian D., Method and apparatus for changing microcode to be executed in a processor.
  58. Dowling Eric M., Method and apparatus for high performance branching in pipelined microsystems.
  59. Dowling, Eric M., Method and apparatus for high performance branching in pipelined microsystems.
  60. Dowling,Eric M., Method and apparatus for high performance branching in pipelined microsystems.
  61. Dowling,Eric M., Method and apparatus for high performance branching in pipelined microsystems.
  62. Floyd, Michael Stephen; Kahle, James Allan; Le, Hung Qui; Leitner, Larry Scott; Reick, Kevin Franklin, Method and apparatus for multi-stage hang recovery in an out-of-order microprocessor.
  63. Nair Ravindra K., Method and apparatus for prefetching superblocks in a computer processing system.
  64. Nair Ravindra K., Method and apparatus for subroutine call-return prediction.
  65. Dickol John Edward ; Drerup Bernard Charles, Method and system for executing a non-native mode-sensitive instruction within a computer system.
  66. Johnson, Richard; Rozas, Guillermo, Method for increasing the speed of speculative execution.
  67. Bauman Mitchell A. ; Boone Lewis A. ; Schroeder Donald E., Method of and apparatus for serial dynamic system partitioning.
  68. Quattromani Marc A. ; Garibay ; Jr. Raul A. ; McMahan Steven C. ; Hervin Mark W., Method of identifying and self-modifying code.
  69. Curtis Allen J. ; Whiteley Stephen T. ; Rassool Reza A., Method of pre-caching or pre-fetching data utilizing thread lists and multimedia editing systems using such pre-caching.
  70. Cornaby,Michael P.; Chaffin,Ben, Microinstruction pointer stack including speculative pointers for out-of-order execution.
  71. Pflum Marty L., Microprocessor employing and method of using a control bit vector storage for instruction execution.
  72. Stribaek, Morten; Kissell, Kevin D.; Paillier, Pascal, Microprocessor instructions for performing polynomial arithmetic operations.
  73. Farrell Mark Steven (Pleasant Valley NY) Krumm Barry Watson (Poughkeepsie NY) Navarro Jennifer Serena Almoradie (Poughkeepsie NY) Webb Charles Franklin (Poughkeepsie NY), Millicode extended memory addressing using operand access control register to control extended address concatenation.
  74. Webb Charles Franklin ; Farrell Mark Steven ; Check Mark Anthony ; Liptay John Stephen, Millicode load and test access instruction that blocks interrupts in response to access exceptions.
  75. Butcher, David John; Hill, Stephen John; Francis, Hedley James; Vasekin, Vladimir; Rose, Andrew Christopher, Null exception handling.
  76. Archambault,Roch Georges; Blainey,Robert James; Hall,Charles Brian; Zhang,Yingwei, Optimizing source code for iterative execution.
  77. Bouyoux, Eric, Pipeline microprocessor with conditional jump in one clock cycle.
  78. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for multi-cycle operations.
  79. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for multi-cycle operations wherein all VLIW instructions are flushed upon detection of a multi-cycle atom operation in a VLIW instruction.
  80. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for multicycle operations.
  81. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for multicycle operations.
  82. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for unaligned memory operations.
  83. Coon, Brett; D'Souza, Godfrey; Serris, Paul, Pipeline replay support for unaligned memory operations.
  84. Krishnamurthy Subramanian ; Peterson James ; Poole Glenn ; Donovan Walt, Pixel engine data caching mechanism.
  85. Krishnamurthy Subramanian ; Peterson James ; Poole Glenn ; Donovan Walt, Pixel engine pipeline processor data caching mechanism.
  86. Song Seungyoon Peter, Predictive translation of a data address utilizing sets of associative entries stored consecutively in a translation lo.
  87. Dornan,Christopher Bentley; Rose,Andrew Christopher, Program instruction interpretation.
  88. Freeman Jackie Andrew, Programmable branch prediction system and method for inserting prediction operation which is independent of execution o.
  89. Kahle, James Allan; Le, Hung Qui; Reick, Kevin F.; Shippy, David James; Thatcher, Larry Edward, Recovery from hang condition in a microprocessor.
  90. Englin Donald C. ; Bauman Mitchell A., Reduced instruction processor/storage controller interface.
  91. Nevill,Edward Colles; Rose,Andrew Christopher, Restarting translated instructions.
  92. Bauman Mitchell A., Scalable cross bar type storage controller.
  93. Bauman Mitchell A. ; Mackenthun Donald W. ; Lucas Gary J. ; Federici James L., Second level cache having instruction cache parity error control.
  94. Blomgren James S. (San Jose CA) Richter David E. (Milpitas CA) Brashears Cheryl Senter (Cupertino CA), Shared floating-point registers and register port-pairing in a dual-architecture CPU.
  95. Chau Kwok, Soft programmable single-cycle/pipelined micro-programmed control system.
  96. Hay, Robert William; Sinharoy, Balaram, Software hint to improve the branch target prediction accuracy.
  97. Webb Charles F. (Poughkeepsie NY) Farrell Mark S. (Pleasant Valley NY) Li Wen H. (Poughkeepsie NY), Specialized millicode instruction for range checking.
  98. Webb Charles F. (Poughkeepsie NY) Farrell Mark S. (Pleasant Valley NY) Conklin Christopher R. (Kingston NY) Li Wen H. (Poughkeepsie NY), Specialized millicode instruction for string operations.
  99. Webb Charles Franklin (Poughkeepsie NY) Farrell Mark Steven (Pleasant Valley NY) Check Mark Anthony (Hopewell Junction NY) Liptay John Stephen (Rhinebeck NY), Specialized millicode instructions for test PSW validity, load with access test, and character translation assist.
  100. Lindwer,Menno Menasshe, Speculative execution for java hardware accelerator.
  101. Nevill,Edward Colles; Rose,Andrew Christopher, Storing stack operands in registers.
  102. Kissell, Kevin D., Substituting portion of template instruction parameter with selected virtual instruction parameter.
  103. Engelbrecht, Kenneth L.; Fontaine, Lawrence R.; Kuslak, John S.; Shimada, Conrad S., System and method for detecting and recovering from errors in an instruction stream of an electronic data processing system.
  104. Huff Thomas R. ; Thakkar Shreekant S. ; Golliver Roger A., System for reducing number of opcodes required in a processor using an instruction format including operation class code and operation selector code fields.
  105. Zaidi Nazar A. ; Aatresh Deepak J. ; Morrison Michael J., System for using a branch prediction unit to achieve serialization by forcing a branch misprediction to flush a pipelin.
  106. Schiff, Daniel, Systems and methods for dynamically managing the migration of a single instance of data between storage devices.
  107. Blomgren James S. ; Brashears Cheryl Senter, Temporal re-alignment of a floating point pipeline to an integer pipeline for emulation of a load-operate architecture.
  108. Rose,Andrew Christopher; Nevill,Edward Colles, Unhandled operation handling in multiple instruction set systems.
  109. Kissell,Kevin D., Virtual instruction expansion based on template and parameter selector information specifying sign-extension or concentration.
  110. Kissell, Kevin D., Virtual instruction expansion using parameter selector defining logic operation on parameters for template opcode substitution.
  111. Patel, Mukesh K., Virtual machine hardware for RISC and CISC processors.
  112. Rose,Andrew Christopher, Write-through caching a JAVA짰 local variable within a register of a register bank.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로