$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of fabricating semiconductor device having sidewall spacers and oblique implantation

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/265
출원번호 US-0779498 (1991-10-24)
우선권정보 JP-0300343 (1990-11-05)
발명자 / 주소
  • Shimizu Masahiro (Hyogo JPX) Mitsui Katsuyoshi (Hyogo JPX) Yama Yomiyuki (Hyogo JPX) Yasunaga Masatoshi (Hyogo JPX)
출원인 / 주소
  • Mitsubishi Denki Kabushiki Kaisha (Tokyo JPX 03)
인용정보 피인용 횟수 : 136  인용 특허 : 0

초록

First, a low-concentration impurity layer is formed by obliquely implanting an n-type impurity at a prescribed angle with respect to the surface of a p-type semiconductor substrate, using a gate electrode formed on the semiconductor substrate as a mask. Thereafter a sidewall spacer is formed on the

대표청구항

A method of fabricating a semiconductor device comprising the steps of: forming a gate electrode on a first conductivity type semiconductor substrate having a major surface with a gate insulating film therebetween; forming a low-concentration impurity layer by obliquely implanting a second conductiv

이 특허를 인용한 특허 (136)

  1. Sayka Anthony, Apparatus for coating a workpiece with fluid contamination detection.
  2. Cheffings David F., Apparatus having low resistance angled implant regions.
  3. Dennison Charles H. ; Helm Mark, CMOS integrated circuitry with Halo and LDD regions.
  4. Hiroki Akira,JPX ; Odanaka Shinji,JPX, Complementary semiconductor device and method for producing the same.
  5. Yu, Tien-Wei; Chien, Chin-Cheng; Lai, I-Ming; Chen, Shin-Chi; Li, Chih-Yueh; Chuang, Fong-Lung; Liao, Chin-I; Lin, Kuan-Yu, Compound semiconductor epitaxial structure and method for fabricating the same.
  6. Kim, Kil Ho, Device for electrostatic discharge protection comprising triple-diffused drain structure.
  7. Zhang, Hongyong; Takemura, Yasuhiko; Konuma, Toshimitsu; Ohnuma, Hideto; Yamaguchi, Naoaki; Suzawa, Hideomi; Uochi, Hideki, Display device.
  8. Hamada, Takashi; Arai, Yasuyuki, Display device having driver TFTs and pixel TFTs formed on the same substrate.
  9. Yamazaki,Shunpei; Murakami,Satoshi; Koyama,Jun; Tanaka,Yukio; Kitakado,Hidehito; Ohnumo,Hideto, Display including casing and display unit.
  10. Wei Yi-Hen (Saratoga CA) Loh Ying T. (Saratoga CA) Wang Chung S. (Fremont CA) Hu Chenming (Alamo CA), ESD and hot carrier resistant integrated circuit structure.
  11. Cheffings David F., Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant.
  12. Fu, Ssu-I; Hung, Yu-Hsiang; Chen, Cheng-Guo; Chang, Chung-Fu; Lin, Chien-Ting, Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures.
  13. Lai, Szu-Hao; Wu, Chun-Yuan; Chien, Chin-Cheng; Yu, Tien-Wei; Chang, Ming-Hua; Lin, Yu-Shu; Wen, Tsai-Yu; Hsu, Hsin-Kuo, Epitaxial process.
  14. Liang, Chia-Jui; Tsao, Po-Chao, Epitaxial process.
  15. Yamazaki,Shunpei; Adachi,Hiroki, Ferroelectric liquid crystal and goggle type display devices.
  16. Yamazaki, Shunpei; Adachi, Hiroki, Ferroelectric liquid crystal display device comprising gate-overlapped lightly doped drain structure.
  17. Hung, Yu-Hsiang; Fu, Ssu-I; Lin, Chien-Ting; Tsao, Po-Chao; Chang, Chung-Fu; Chen, Cheng-Guo, Fin-shaped field-effect transistor (FinFET).
  18. Aftab Ahmad ; Charles Dennison, Graded LDD implant process for sub-half-micron MOS devices.
  19. Aftab Ahmad ; Charles Dennison, Graded LDD implant process for sub-half-micron MOS devices.
  20. Ahmad Aftab ; Dennison Charles, Graded LDD implant process for sub-half-micron MOS devices.
  21. Ahmad Aftab ; Dennison Charles, Graded LDD implant process for sub-half-micron MOS devices.
  22. Ahmad Aftab ; Dennison Charles, Graded LDD implant process for sub-half-micron MOS devices.
  23. Ahmad, Aftab; Dennison, Charles, Graded LDD implant process for sub-half-micron MOS devices.
  24. Ahmad, Aftab; Dennison, Charles, Graded LDD implant process for sub-half-micron MOS devices.
  25. Liao, Chin-I; Chien, Chin-Cheng, Gradient dopant of strained substrate manufacturing method of semiconductor device.
  26. Shimizu Masahiro,JPX ; Tanaka Yoshinori,JPX ; Arima Hideaki,JPX, Integrated circuit having a memory cell transistor with a gate oxide layer which is thicker than the gate oxide layer of a peripheral circuit transistor.
  27. Shimizu, Masahiro; Tanaka, Yoshinori; Arima, Hideaki, Integrated circuit having a memory cell transistor with a gate oxide layer which is thicker than the gate oxide layer of a peripheral circuit transistor.
  28. Dennison Charles H. ; Helm Mark, Integrated circuitry comprising halo regions and LDD regions.
  29. Chang K. Y. ; Gardner Mark I. ; Hause Fred, LDD MOS transistor with improved uniformity and controllability of alignment.
  30. Shih, An, LDD structure of thin film transistor and process for producing same.
  31. Yeh, Chiu-Hsien; Chien, Chin-Cheng; Wang, Yu-Wen, Manufacturing method for semiconductor structure.
  32. Mametani Tomoharu,JPX, Manufacturing process of a MOS semiconductor device.
  33. Tsai, Shih-Hung; Chiang, Wen-Tai; Tsai, Chen-Hua; Tsai, Cheng-Tzung, Metal-gate CMOS device.
  34. Tsai, Shih-Hung; Chiang, Wen-Tai; Tsai, Chen-Hua; Tsai, Cheng-Tzung, Metal-gate CMOS device and fabrication method thereof.
  35. Neary Paul ; Henrickson Lindor E., Method for artificially-inducing reverse short-channel effects in deep sub-micron CMOS devices.
  36. Hung, Ching-Wen; Wu, Jia-Rong; Huang, Chih-Sen, Method for fabricating fin-shaped field-effect transistor.
  37. Liao, Chin-I; Lai, I-Ming; Chien, Chin-Cheng, Method for fabricating first and second epitaxial cap layers.
  38. Huang, Shin-Chuan; Hwang, Guang-Yaw; Wang, Hsiang-Ying; Hung, Yu-Hsiang; Wang, I-Chang, Method for fabricating semiconductor device.
  39. Hiroki Akira,JPX ; Odanaka Shinji,JPX, Method for forming complementary MOS device having asymmetric region in channel region.
  40. Hsu, Ching-Pin; Lin, Yi-Po; Liao, Jiunn-Hsiung; Chen, Chieh-Te; Chang, Feng-Yi; Tsai, Shang-Yuan; Chen, Li-Chiang, Method for forming void-free dielectric layer.
  41. Lee Sang Don,KRX, Method for manufacturing semiconductor device.
  42. Liu, Chia-Jong; Wu, Yen-Liang; Chang, Chung-Fu; Hung, Yu-Hsiang; Chou, Pei-Yu; Cheng, Home-Been, Method of controlling etching process for forming epitaxial structure.
  43. Masuoka Sadaaki,JPX, Method of fabricating CMOS semiconductor device.
  44. Yamazaki, Shunpei, Method of fabricating a semiconductor device.
  45. Yamazaki, Shunpei, Method of fabricating a semiconductor device.
  46. Yamazaki, Shunpei, Method of fabricating a semiconductor device.
  47. Yamazaki,Shunpei, Method of fabricating a semiconductor device by doping impurity element into a semiconductor layer through a gate electrode.
  48. Guo, Ted Ming-Lang; Chien, Chin-Cheng; Chan, Shu-Yen; Yang, Chan-Lon; Wu, Chun-Yuan, Method of fabricating a semiconductor structure.
  49. Lu, Tsuo-Wen; Lai, I-Ming; Hou, Tsung-Yu; Lin, Chien-Liang; Teng, Wen-Yi; Wang, Shao-Wei; Wang, Yu-Ren; Chien, Chin-Cheng, Method of fabricating an epitaxial layer.
  50. Yu Bin ; Pramanick Shekhar, Method of fabricating an integrated circuit having punch-through suppression.
  51. Chen-Chung Hsu TW, Method of fabricating flash memory cell using two tilt implantation steps.
  52. Charles H. Dennison ; Mark Helm, Method of forming CMOS integrated circuitry.
  53. Dennison Charles H. (Meridian ID) Helm Mark (Boise ID), Method of forming CMOS integrated circuitry.
  54. Dennison Charles H. ; Helm Mark, Method of forming CMOS integrated circuitry.
  55. Dennison Charles H. ; Helm Mark, Method of forming CMOS integrated circuitry.
  56. Dennison Charles H. ; Helm Mark, Method of forming CMOS integrated circuitry.
  57. Dennison Charles H. ; Helm Mark, Method of forming CMOS integrated circuitry having halo regions.
  58. Chang Chun-Yeh,TWX ; Tseng I-Feng,TWX ; Tsai Jaw-Jia,TWX, Method of forming a short channel field effect transistor.
  59. Cheffings David F., Method of forming an electrically conductive substrate interconnect continuity region with an angled implant.
  60. Chien, Chin-Cheng; Wu, Chun-Yuan; Liu, Chih-Chien; Lin, Chin-Fu; Tsai, Teng-Chun, Method of forming semiconductor device.
  61. Wen, Tsai-Yu; Lu, Tsuo-Wen; Wang, Yu-Ren; Chien, Chin-Cheng; Yu, Tien-Wei; Hsu, Hsin-Kuo; Lin, Yu-Shu; Lai, Szu-Hao; Chang, Ming-Hua, Method of forming semiconductor device.
  62. Wu David ; Luning Scott, Method of forming semiconductor device comprising a drain region with a graded N-LDD junction with increased HCI lifetime.
  63. Mark Fischer ; Charles H. Dennison ; Fawad Ahmed ; Richard H. Lane ; John K. Zahurak ; Kunal R. Parekh, Method of improving static refresh.
  64. Yang, Chan-Lon; Guo, Ted Ming-Lang; Liao, Chin-I; Chien, Chin-Cheng; Chan, Shu-Yen; Wu, Chun-Yuan, Method of making strained silicon channel semiconductor structure.
  65. Hamada, Takashi; Arai, Yasuyuki, Method of manufacturing a semiconductor device.
  66. Hamada, Takashi; Arai, Yasuyuki, Method of manufacturing a semiconductor device.
  67. Zhang, Hongyong; Takemura, Yasuhiko; Konuma, Toshimitsu; Ohnuma, Hideto; Yamaguchi, Naoaki; Suzawa, Hideomi; Uochi, Hideki, Method of manufacturing a semiconductor device having lightly-doped drain (LDD) regions.
  68. Tokita, Hirofumi, Method of manufacturing semiconductor device.
  69. Liao, Chin-I; Hsu, Chia-Lin; Li, Ming-Yen; Hsieh, Yung-Lun; Chen, Chien-Hao; Lee, Bo-Syuan, Multi-gate field-effect transistor and process thereof.
  70. Liao, Chin-I; Hsu, Chia-Lin; Li, Ming-Yen; Hsieh, Yung-Lun; Chen, Chien-Hao; Lee, Bo-Syuan, Multi-gate field-effect transistor process.
  71. Wang Chih-Hsien (Hsinchu TWX) Chen Min-Liang (Hsinchu TWX), Process for forming LDD CMOS using large-tilt-angle ion implantation.
  72. Liao, Chin-I; Jiang, Ching-Hong; Li, Ching-I; Chan, Shu-Yen; Chien, Chin-Cheng, Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure.
  73. Jung-Chun Lin TW; Tony Lin TW; Jih-Wen Chou TW, SEG combined with tilt side implant process.
  74. Krivokapic Zoran, Self-aligned channel transistor and method for making same.
  75. Yamazaki, Shunpei, Semiconductor device.
  76. Yamazaki, Shunpei, Semiconductor device.
  77. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device and fabrication method thereof.
  78. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device and fabrication method thereof.
  79. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device and fabrication method thereof.
  80. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device and fabrication method thereof.
  81. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device and fabrication method thereof.
  82. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  83. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  84. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  85. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  86. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  87. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  88. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  89. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  90. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  91. Yamazaki, Shunpei; Murakami, Satoshi; Koyama, Jun; Tanaka, Yukio; Kitakado, Hidehito; Ohnuma, Hideto, Semiconductor device and fabrication method thereof.
  92. Yamazaki,Shunpei; Murakami,Satoshi; Koyama,Jun; Tanaka,Yukio; Kitakado,Hidehito; Ohnumo,Hideto, Semiconductor device and fabrication method thereof.
  93. Chou, Ling-Chun; Wang, I-Chang; Hung, Ching-Wen, Semiconductor device and manufacturing method thereof.
  94. Yamazaki,Shunpei; Suzawa,Hideomi; Ono,Koji; Arai,Yasuyuki, Semiconductor device and manufacturing method thereof.
  95. Yang, Chan-Lon; Kuo, Tzu-Feng; Wu, Hsin-Huei; Li, Ching-I; Chan, Shu-Yen, Semiconductor device and manufacturing method thereof.
  96. Hou, Hsin-Ming; Tung, Yu-Cheng; Kung, Ji-Fu; Lien, Wai-Yi; Chen, Ming-Tsung, Semiconductor device and method for fabricating the same.
  97. Liao, Chin-I; Hsuan, Teng-Chun; Chien, Chin-Cheng, Semiconductor device and method of forming epitaxial layer.
  98. Zhang, Hongyong; Takemura, Yasuhiko; Konuma, Toshimitsu; Ohnuma, Hideto; Yamaguchi, Naoaki; Suzawa, Hideomi; Uochi, Hideki, Semiconductor device and method of manufacture thereof.
  99. Zhang, Hongyong; Takemura, Yasuhiko; Konuma, Toshimitsu; Ohnuma, Hideto; Yamaguchi, Naoaki; Suzawa, Hideomi; Uochi, Hideki, Semiconductor device and method of manufacture thereof.
  100. Zhang, Hongyong; Takemura, Yasuhiko; Konuma, Toshimitsu; Ohnuma, Hideto; Yamaguchi, Naoaki; Suzawa, Hideomi; Uochi, Hideki, Semiconductor device and method of manufacture thereof.
  101. Zhang,Hongyong; Takemura,Yasuhiko; Konuma,Toshimitsu; Ohnuma,Hideto; Yamaguchi,Naoaki; Suzawa,Hideomi; Uochi,Hideki, Semiconductor device and method of manufacture thereof.
  102. Miyanaga, Akiharu; Kubo, Nobuo, Semiconductor device and method of manufacturing the same.
  103. Yamazaki, Shunpei; Adachi, Hiroki, Semiconductor device and method of manufacturing the same.
  104. Yamazaki,Shunpei; Adachi,Hiroki, Semiconductor device and method of manufacturing the same.
  105. Yamazaki, Shunpei; Arai, Yasuyuki; Koyama, Jun, Semiconductor device comprising a pixel unit including an auxiliary capacitor.
  106. Yamazaki,Shunpei, Semiconductor device comprising thin film transistor comprising conductive film having tapered edge.
  107. Yamazaki, Shunpei, Semiconductor device having LDD regions.
  108. Liao, Chin-I; Hsuan, Teng-Chun; Chien, Chin-Cheng, Semiconductor device having epitaxial layer.
  109. Liao, Chin-I; Hsuan, Teng-Chun; Lai, I-Ming; Chien, Chin-Cheng, Semiconductor device having epitaxial structures.
  110. Hamada, Takashi; Arai, Yasuyuki, Semiconductor device including a conductive film having a tapered shape.
  111. Hou, Hsin-Ming; Tung, Yu-Cheng; Kung, Ji-Fu; Lien, Wai-Yi; Chen, Ming-Tsung, Semiconductor device with epitaxial structures and method for fabricating the same.
  112. Yamazaki, Shunpei, Semiconductor device with tapered gates.
  113. Tung, Yu-Cheng, Semiconductor devices.
  114. Komori, Hideki; Shimada, Hisayuki; Sun, Yu; Kinoshita, Hiroyuki, Semiconductor memory device.
  115. Komori, Hideki; Shimada, Hisayuki; Sun, Yu; Kinoshita, Hiroyuki, Semiconductor memory device.
  116. Kawaguchi Tsutomu,JPX ; Katada Mitsutaka,JPX, Semiconductor memory device having high-concentration region around electric-field moderating layer in substrate.
  117. Liao, Chin-I; Hsu, Chia-Lin; Li, Ming-Yen; Wu, Hsin-Huei; Hsieh, Yung-Lun; Chen, Chien-Hao; Lee, Bo-Syuan, Semiconductor process.
  118. Tsai, Tzung-I; Lu, Shui-Yen, Semiconductor process.
  119. Tsai, Zen-Jay; Hsu, Shao-Hua; Pai, Chi-Horn; Chou, Ying-Hung; Su, Shih-Hao; Hsu, Shih-Chieh; Wang, Chih-Ho; Wu, Hung-Yi; Lu, Shui-Yen, Semiconductor process.
  120. Chang, Ming-Hua; Wu, Chun-Yuan; Chien, Chin-Cheng; Yu, Tien-Wei; Lin, Yu-Shu; Lai, Szu-Hao, Semiconductor process for modifying shape of recess.
  121. Chang, Ming-Hua; Wu, Chun-Yuan; Chien, Chin-Cheng; Yu, Tien-Wei; Lin, Yu-Shu; Lai, Szu-Hao, Semiconductor process for modifying shape of recess.
  122. Ahmad Aftab ; Prall Kirk, Semiconductor processing method of fabricating field effect transistors.
  123. Ahmad Aftab ; Prall Kirk, Semiconductor processing method of fabricating field effect transistors.
  124. Ahmad Aftab ; Prall Kirk, Semiconductor processing method of fabricating field effect transistors.
  125. Liao, Chin-I; Chien, Chin-Cheng, Semiconductor structure.
  126. Wei, Ming-Te; Huang, Shin-Chuan; Hung, Yu-Hsiang; Tsao, Po-Chao; Liang, Chia-Jui; Chen, Ming-Tsung; Liang, Chia-Wen, Semiconductor structure and fabrication method thereof.
  127. Wei, Ming-Te; Huang, Shin-Chuan; Hung, Yu-Hsiang; Tsao, Po-Chao; Liang, Chia-Jui; Chen, Ming-Tsung; Liang, Chia-Wen, Semiconductor structure and fabrication method thereof.
  128. Liao, Chin-I; Chien, Chin-Cheng, Semiconductor structure and process thereof.
  129. Aftab Ahmad ; David J. Keller, Semiconductor transistor devices and methods for forming semiconductor transistor devices.
  130. Ahmad Aftab ; Keller David J., Semiconductor transistor devices and methods for forming semiconductor transistor devices.
  131. Ahmad Aftab ; Keller David J., Semiconductor transistor devices and methods for forming semiconductor transistor devices.
  132. Ahmad Aftab ; Keller David J., Semiconductor transistor devices and methods for forming semiconductor transistor devices.
  133. Ahmad, Aftab; Keller, David J., Semiconductor transistor devices and structures with halo regions.
  134. Alvis Roger ; Luning Scott ; Griffin Peter, Shallow drain extension formation by angled implantation.
  135. Chou, Ling-Chun; Wang, I-Chang; Hung, Ching-Wen, Spacer scheme for semiconductor device.
  136. Yang, Chan-Lon; Guo, Ted Ming-Lang; Liao, Chin-I; Chien, Chin-Cheng; Chan, Shu-Yen; Wu, Chun-Yuan, Strained silicon channel semiconductor structure.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트