$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plasma cleaning method for removing residues in a plasma treatment chamber 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B08B-007/00
출원번호 US-0176935 (1994-01-03)
발명자 / 주소
  • Chen Ching-Hwa (Milpitas CA) Arnett David (Fremont CA) Liu David (San Jose CA)
출원인 / 주소
  • LAM Research Corporation (Fremont CA 02)
인용정보 피인용 횟수 : 275  인용 특허 : 0

초록

A plasma cleaning method for removing residues previously formed in a plasma treatment chamber by dry etching layers such as photoresist, barriers, etc., on a wafer. The method includes introducing a cleaning gas mixture of an oxidizing gas and a chlorine containing gas into the chamber followed by

대표청구항

A plasma cleaning method for removing metal-containing residues in a plasma treatment chamber, comprising: introducing a cleaning gas mixture comprising oxygen gas and a chlorine containing gas into a plasma treatment chamber; and performing a plasma cleaning step by activating the cleaning gas mixt

이 특허를 인용한 특허 (275)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Chandran, Shankar W.; Hendrickson, Scott; Jones, Gwendolyn D.; Venkataraman, Shankar; Yieh, Ellie, Accelerated plasma clean.
  3. Chandran,Shankar N.; Hendrickson,Scott; Jones,Gwendolyn D.; Venkataraman,Shankar; Yieh,Ellie, Accelerated plasma clean.
  4. Shankar N. Chandran ; Scott Hendrickson ; Gwendolyn D. Jones ; Shankar Venkataraman ; Ellie Yieh, Accelerated plasma clean.
  5. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  6. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  7. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  8. Yeh Edward, Alternative plasma chemistry for enhanced photoresist removal.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  10. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  11. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  12. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  13. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  14. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  15. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  16. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  17. Tang, Hairong; Zhao, Xiaoye; Horioka, Keiji; Pender, Jeremiah T. P., Chamber recovery after opening barrier over copper.
  18. Lubomirsky, Dmitry, Chamber with flow-through source.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  23. Ramkumar Subramanian ; Khoi A. Phan ; Bharath Rangarajan ; Bhanwar Singh ; Sanjay K. Yedur ; Bryan K. Choo, Cleaning carbon contamination on mask using gaseous phase.
  24. Subramanian Ramkumar ; Phan Khoi A. ; Rangarajan Bharath ; Singh Bhanwar ; Choo Bryan K. ; Yedur Sanjay K., Cleaning chamber built into SEM for plasma or gaseous phase cleaning.
  25. Kikuchi Jun,JPX ; Fujimura Shuzo,JPX, Cleaning of hydrogen plasma down-stream apparatus.
  26. Sun, Zhi-Wen; Jiang, Anbei; Huang, Tuo-Chuan, Cleaning of multicompositional etchant residues.
  27. Lau, Wesley George, Cleaning process residues from substrate processing chamber components.
  28. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  29. Anand Vasudev ; Toshio Itoh ; Ramamujapuram A. Srinivas ; Frederick Wu ; Li Wu ; Brian Boyle ; Mei Chang, Computer readable medium for controlling a method of cleaning a process chamber.
  30. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  31. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  32. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  33. Hung-Yueh Lu TW; Ray C. Lee TW; Hong-Long Chang TW, Defects reduction for a metal etcher.
  34. Cheng, Tien-Jen J.; Li, Zhengwen; Wong, Keith Kwong Hon, Deposition chamber cleaning method including stressed cleaning layer.
  35. Cheng, Tien-Jen; Li, Zhengwen; Wong, Keith Kwong Hon, Deposition chamber cleaning method including stressed cleaning layer.
  36. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  37. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  38. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  39. Laxman Murugesh ; Padmanaban Krishnaraj ; Michael Cox ; Canfeng Lai ; Narendra Dubey ; Tom K. Cho ; Sudhir Ram Gondhalekar ; Lily L. Pang, Directing a flow of gas in a substrate processing chamber.
  40. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  41. Ranft, Craig; Helle, Wolfgang; Guerra, Robert; Cole, Brady F., Downstream surface cleaning process.
  42. Ueda, Masahisa; Kokaze, Yutaka; Endou, Mitsuhiro; Suu, Koukou, Dry cleaning method for plasma processing apparatus.
  43. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  44. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  45. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  46. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  47. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  48. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  49. Yuen, Stephen; Jain, Mohit; Lill, Thorsten B., Etching a substrate in a process zone.
  50. Dokan, Takashi; Sasaki, Masaru; Kamata, Hikaru, Etching method and device.
  51. Allen ; III Tuman Earl, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  52. Allen, III, Tuman Earl, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  53. Allen, III, Tuman Earl, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  54. Allen, III, Tuman Earl, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  55. Tuman Earl Allen, III, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  56. Tuman Earl Allen, III, Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers.
  57. Haase, Michael A.; Smith, Terry L.; Zhang, Jun-Ying, Etching process for semiconductors.
  58. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  59. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  60. Wang,Xikun; Chen,Hui; Jiang,Anbei; Shih,Hong; Mak,Steve S. Y., Fluorine free integrated process for etching aluminum including chamber dry clean.
  61. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  62. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  63. Seamons Martin ; Ching Cary ; Imaoka Kou,JPX ; Sato Tatsuya,JPX ; Ravi Tirunelveli S. ; Triplett Michael C., Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus.
  64. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  65. Mori, Isamu; Tamura, Tetsuya; Ohashi, Mitsuya, Gas for removing deposit and removal method using same.
  66. Mouri, Isamu; Tamura, Tetsuya; Ohashi, Mitsuya, Gas for removing deposit and removal method using same.
  67. Mouri,Isamu; Tamura,Tetsuya; Ohashi,Mitsuya, Gas for removing deposit and removal method using same.
  68. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  69. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  70. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  71. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  72. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  73. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  74. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  75. Lo Chi-Hsin,CNX ; Cheu Hsing-Yuan,CNX, In-sit chamber cleaning method.
  76. Watatani Hirofumi (Kawasaki JPX) Doki Masahiko (Kawasaki JPX) Okuda Shoji (Kasugai JPX) Nakahira Junya (Kawasaki JPX) Kikuchi Hideaki (Kawasaki JPX), In-situ cleaning of plasma treatment chamber.
  77. Singh, Harmeet; Ullal, Saurabh J.; Gangadharan, Shibu, In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control.
  78. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  79. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  80. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  81. Chen J. G.,TWX ; Huang L. M.,TWX ; Chien W. C.,TWX ; Fan C. P.,TWX, Integrated film etching/chamber cleaning process.
  82. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  83. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  84. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  85. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  86. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  87. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  88. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  89. Tan, Zhongkui; Fu, Qian; Hsiao, Huai-Yu, Method and apparatus for anisotropic tungsten etching.
  90. Blonigan, Wendell T.; Gardner, James T., Method and apparatus for detecting the endpoint of a chamber cleaning.
  91. Pore, Viljami, Method and apparatus for filling a gap.
  92. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  93. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  94. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  95. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  96. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  97. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  98. Cheng, Chao-Yun; Kuo, Shin-Jien; Chuang, Chih-Chung; Wu, Shu-Feng, Method for cleaning a plasma chamber.
  99. Nguyen, Huong Thanh; Barnes, Michael; Xia, Li-Qun; Yieh, Ellie, Method for cleaning a process chamber.
  100. Vasudev Anand ; Itoh Toshio ; Srinivas Ramanujapuram A. ; Wu Frederick ; Wu Li ; Boyle Brian ; Chang Mei, Method for cleaning a process chamber.
  101. Wu,Dingjun; Ji,Bing; Motika,Stephen Andrew; Karwacki, Jr.,Eugene Joseph, Method for cleaning deposition chambers for high dielectric constant materials.
  102. Yu-Chang Chow TW; W. H. Cheng TW; Chia-Fu Yeh TW; C. M. Chi TW; Cobby Lee TW, Method for cleaning interior of etching chamber.
  103. Okabe, Tatsuhiro; Fukazawa, Atsuki, Method for cleaning reaction chamber using pre-cleaning process.
  104. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  105. Kang, DongSeok, Method for depositing thin film.
  106. Hines Cynthia Marie ; Pinto James Nicholas, Method for deposition tool cleaning.
  107. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  108. Kang, Sean S.; Li, Si Yi; Sadjadi, S. M. Reza, Method for etching silicon carbide.
  109. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  110. Kim Hyung Gi,KRX ; Kim Myung Soo,KRX ; Bok Cheol Kyu,KRX ; Baik Ki Ho,KRX ; Lee Dae Hoon,KRX ; Kim Jin Woong,KRX ; Park Byung Jun,KRX, Method for forming a fine pattern in a semiconductor device.
  111. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  112. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  113. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  114. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  115. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  116. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  117. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  118. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  119. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  120. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  121. Hung Ming-Yeon,TWX ; Yu Janet,TWX ; Fang Weng-Liang,TWX ; Kin Chang-Ching,TWX, Method for removal of photoresist residue after dry metal etch.
  122. Kim Jae-Jeong,KRX, Method for removing etch residue material.
  123. Seo, Sang-Hun; Chi, Kyeong-Koo; Kim, Ji-Soo; Chu, Chang-Woong; Chung, Seung-Pil, Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system.
  124. Hsiao, Richard; Robertson, Neil Leslie; Webb, Patrick Rush, Method for seed layer removal for magnetic heads.
  125. Sandhu, Gurtej S.; Sharan, Sujit, Method for treating residues in semiconductor processing chambers.
  126. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  127. Comita Paul B. ; Forstner Hali J. L. ; Ranganathan Rekha, Method of cleaning CVD cold-wall chamber and exhaust lines.
  128. San, Nelson Loke Chou; Kagami, Kenichi; Satoh, Kiyoshi, Method of cleaning a CVD reaction chamber using an active oxygen species.
  129. Danny Chien Lu ; Allen Zhao ; Peter Hsieh ; Hong Shih ; Li Xu ; Yan Ye, Method of cleaning a semiconductor device processing chamber after a copper etch process.
  130. Brett C. Richardson ; Duane Outka, Method of cleaning and conditioning plasma reaction chamber.
  131. Ogawa Hiroshi,JPX, Method of cleaning vacuum processing apparatus.
  132. Suzuki, Koji, Method of conditioning an etching chamber and method of processing semiconductor substrate using the etching chamber.
  133. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  134. Demos, Alex; Shufflebotham, Paul Kevin; Barnes, Michael; Nguyen, Huong; McMillin, Brian; Ben-Dor, Monique, Method of depositing a silicon containing layer on a semiconductor substrate.
  135. Moon Kyo-Ho,KRX, Method of etching a layer.
  136. Ko, Jungmin, Method of fin patterning.
  137. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  138. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  139. Tien-Min Yuan TW; Shih-Chi Lai TW; Yen-Chung Feng TW; Tsung-Hua Wu TW, Method of in situ reactive gas plasma treatment.
  140. Kuo So Wen (Hsin-Chu TWX), Method of maintaining a strong endpoint detection signal for RIE processes, via use of an insitu dry clean procedure.
  141. Wen-Peng, Chiang; Ching-Ho, Hsu, Method of prevent an etcher from being eroded.
  142. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  143. Appleyard, Nicholas John; Powell, Kevin, Method of processing substrates.
  144. Outka, Duane; Kim, Yousun; Chen, Anthony; Daugherty, John, Method of reducing aluminum fluoride deposits in plasma etch reactor.
  145. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  146. Abraham Susan C., Methods and apparatus for improving microloading while etching a substrate.
  147. Abraham Susan C., Methods and apparatus for removing photoresist mask defects in a plasma reactor.
  148. Abraham Susan C. ; Goldspring Gregory J., Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading.
  149. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  150. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  151. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  152. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  153. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  154. Sun, Noel; Shen, Meihua; Gani, Nicolas; Liu, Chung Nang; Mani, Radhika C., Methods for in-situ chamber clean utilized in an etching processing chamber.
  155. Abraham Susan C. ; Chen Peter H. ; Yang Jerry, Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-ba.
  156. Zhu Helen H. ; Mueller George A. ; Nguyen Thomas D. ; Li Lumin, Methods for selective plasma etch.
  157. Eugene P. Marsh, Methods of chemical vapor deposition.
  158. Marsh Eugene P., Methods of cleaning vaporization surfaces.
  159. Marsh, Eugene P., Methods of cleaning vaporization surfaces.
  160. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  161. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  162. Allen, III, Tuman Earl, Methods of forming silicon nitride spacers, and methods of forming dielectric sidewall spacers.
  163. Sato Yoshiaki,JPX ; Katamoto Mitsuru,JPX ; Kawahara Hironobu,JPX ; Soraoka Minoru,JPX ; Umemoto Tsuyoshi,JPX ; Kihara Hideki,JPX ; Kudo Katsuyoshi,JPX ; Yukimasa Tooru,JPX ; Kakutani Hirofumi,JPX, Microwave plasma processing apparatus and method.
  164. Chow, Waiching; Williams, Raney; Lill, Thorsten B.; Chen, Arthur Y., Multiple stage process for cleaning process chambers.
  165. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  166. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  167. Shih, Hong; Leonte, Oana M.; Daugherty, John E.; Huang, Tuochuan; Goldspring, Gregory J.; May, Michael C., On-line chamber cleaning using dry ice blasting.
  168. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  169. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  170. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  171. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  172. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  173. Ramkumar Subramanian ; Khoi A. Phan ; Bharath Rangarajan ; Bhanwar Singh ; Sanjay K. Yedur ; Bryan K. Choo, Ozone cleaning of wafers.
  174. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  175. Dictus, Dries, Photon induced cleaning of a reaction chamber.
  176. Yoshikawa Masato,JPX ; Kusano Yukihiro,JPX ; Naito Kazuo,JPX, Plasma and heating method of cleaning vulcanizing mold for ashing residue.
  177. Morikita,Shinya; Sugiyama,Masaharu; Kawabata,Atsushi, Plasma etching method.
  178. Blalock Guy T. ; Becker David S. ; Donohoe Kevin G., Plasma etching methods.
  179. Blalock,Guy T.; Becker,David S.; Donohoe,Kevin G., Plasma etching methods.
  180. Donohoe, Kevin G.; Stocks, Richard L., Plasma etching methods.
  181. Li,Si Yi; Sadjadi,S. M. Reza; Tietz,James V., Plasma etching of silicon carbide.
  182. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  183. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  184. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  185. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  186. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  187. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  188. Kholodenko, Arnold V.; Katz, Dan; Cheng, Wing L., Process chamber having multiple gas distributors and method.
  189. Murugesh, Laxman; Ahr, Gary R., Process endpoint detection in processing chambers.
  190. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  191. Nallan Padmapani ; Chinn Jeffrey ; Yuen Stephen, Process for etching silicon-containing material on substrates.
  192. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  193. Koemtzopoulos C. Robert ; Kozakevich Felix, Process for precoating plasma CVD reactors.
  194. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  195. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  196. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  197. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  198. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  199. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  200. Kimie Takagi JP; Mikio Suzuki JP; Emi Shimaoka JP; Noriyuki Miyamoto JP, Production process for magnetic recording medium.
  201. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  202. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  203. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  204. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  205. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  206. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  207. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  208. Hua, Zhong Qiang; Kamath, Sanjay; Lee, Young S.; Yieh, Ellie Y.; Le, Hien-Minh Huu; Patel, Anjana M.; Gondhalekar, Sudhir R., Remote plasma clean process with cycled high and low pressure clean steps.
  209. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  210. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  211. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  212. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  213. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  214. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  215. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  216. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  217. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  218. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  219. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  220. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  221. Zhu, Chiyu, Selective film deposition method to form air gaps.
  222. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  223. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  224. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  225. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  226. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  227. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  228. Qian Xue-Yu ; Sun Zhi-Wen ; Jiang Weinan ; Chen Arthur Y. ; Yin Gerald Zheyao ; Yang Ming-Hsun,TWX ; Kuo Ming-Hsun,TWX ; Mui David S. L. ; Chinn Jeffrey ; Pan Shaoher X. ; Wang Xikun, Self-cleaning etch process.
  229. Qian, Xue-Yu; Sun, Zhi-Wen; Jiang, Weinan; Chen, Arthur Y.; Yin, Gerald Zheyao; Yang, Ming-Hsun; Kuo, Ming-Hsun; Mui, David S. L.; Chinn, Jeffrey; Pan, Shaoher X.; Wang, Xikun, Self-cleaning etch process.
  230. Shen, Meihua; Jiang, Wei-nan; Yauw, Oranna; Chinn, Jeffrey, Self-cleaning process for etching silicon-containing material.
  231. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  232. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  233. Toratani, Kenichiro; Aiso, Fumiki; Nakao, Takashi; Yoshinaga, Kazuhei, Semiconductor manufacturing apparatus and method for cleaning same.
  234. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  235. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  236. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  237. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  238. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  239. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  240. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  241. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  242. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  243. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  244. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  245. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  246. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  247. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  248. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  249. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  250. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  251. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  252. Chen, Haojiang; Papanu, James S.; Kawaguchi, Mark; Herchen, Harald; Hwang, Jeng H.; Jin, Guangxiang; Palagashvili, David, Substrate cleaning apparatus and method.
  253. Thomas J. Kropewnicki ; Jeremiah T. Pender ; Henry Fong ; Charles Peter Auglis ; Raymond Hung ; Hongqing Shan, Substrate cleaning process.
  254. Basceri,Cem; Sandhu,Gurtej S., Supercritical fluid technology for cleaning processing chambers and systems.
  255. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  256. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  257. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  258. Leroy Luo ; Rene George ; Stephen E. Savas ; Craig Ranft ; Wolfgang Helle DE; Robert Guerra, Systems and methods for variable mode plasma enhanced processing of semiconductor wafers.
  259. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  260. Hsiao, Richard; Robertson, Neil Leslie; Webb, Patrick Rush, Thin film magnetic head.
  261. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  262. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  263. Lee,Sangheon; Kang,Sean S.; Sadjadi,S M Reza; Deshmukh,Subhash; Kim,Ji Soo, Treatment for corrosion in substrate processing.
  264. Gerald Zheyao Yin ; Xue-Yu Qian ; Patrick L. Leahey ; Jonathan D. Mohn ; Waiching Chow ; Arthur Y. Chen ; Zhi-Wen Sun ; Brian K. Hatcher, Treatment of etching chambers using activated cleaning gas.
  265. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  266. Shrotriya Ashish V., Two step process for cleaning a substrate processing chamber.
  267. Wang, Xikun; Williams, Scott; Pan, Shaoher X., Two-stage etching process.
  268. Wang, Xikun; Williams, Scott; Pan, Shaoher X., Two-stage self-cleaning silicon etch process.
  269. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  270. Marsh, Eugene P., Vapor forming devices.
  271. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  272. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  273. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
  274. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  275. Chang, Jeremy; Fischer, Andreas; Loewenhardt, Peter, Wafer bevel polymer removal.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로