$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-027/02
출원번호 US-0995224 (1992-12-22)
발명자 / 주소
  • Yuen Alex (Sunnyvale CA)
출원인 / 주소
  • Quality Semiconductor, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 130  인용 특허 : 0

초록

The input/output circuit cells of a master-slice gate array device have the same diffusion and gate regions as the basic transistors so that the input/output of the device may be defined at the metallization stage rather than at the time the diffusion regions are formed. Thus a single size master-sl

대표청구항

A master-slice gate array integrated circuit device comprising; a semiconductor medium; and an array of basic transistors in the medium forming sea-of-gate structures, wherein said sea-of-gate structures each comprises a plurality of wide channel transistors whose channel length is less than channel

이 특허를 인용한 특허 (130)

  1. Arai, Kenji; Kikuchi, Hidekazu, Basic cell of gate array semiconductor device, gate array semiconductor device, and layout method for gate array semiconductor device.
  2. Konno Hideki,JPX, Buffer circuit with wide gate input transistor.
  3. Becker, Scott T., Cell circuit and layout with linear finfet structures.
  4. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  5. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  6. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  7. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Circuits with linear finfet structures.
  8. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  9. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  10. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  11. Gazdzinski, Robert F., Computerized apparatus with ingestible probe.
  12. Gazdzinski, Robert F., Computerized information collection and processing apparatus.
  13. Gazdzinski, Robert F., Computerized information collection and processing apparatus.
  14. Gazdzinski, Robert F., Computerized information collection and processing apparatus and methods.
  15. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts.
  16. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track.
  17. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on two gate electrode tracks.
  18. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track.
  19. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer.
  20. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit including offset inner gate contacts.
  21. Huang,Cheng Hsiung; Shih,Chih Ching; Tyhach,Jeffrey; Lin,Guu; Sung,Chiakang; Tran,Stephanie T., ESD protection that supports LVDS and OCT.
  22. Gazdzinski, Robert F., Endoscopic smart probe.
  23. Gazdzinski, Robert F., Endoscopic smart probe and method.
  24. Gazdzinski, Robert F., Endoscopic smart probe and method.
  25. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  26. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  27. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  28. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  29. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Finfet transistor circuit.
  30. Colwell Michael J. (Livermore CA) Lee Teh-Kuin (San Jose CA), High density gate array base cell architecture.
  31. Gazdzinski, Robert F., Ingestible probe with agent delivery.
  32. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  33. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  34. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  35. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length.
  36. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels.
  37. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels.
  38. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels.
  39. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel.
  40. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact.
  41. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode.
  42. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature.
  43. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature.
  44. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer.
  45. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  46. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships.
  47. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  48. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications.
  49. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications.
  50. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications.
  51. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications.
  52. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor.
  53. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors.
  54. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts.
  55. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors.
  56. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  57. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  58. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  59. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer.
  60. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature.
  61. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer.
  62. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode conductive structures with different extension distances beyond contact.
  63. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region.
  64. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends.
  65. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear gate electrode structures having different extension distances beyond contact.
  66. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode conductive structures having offset ends.
  67. Becker, Scott T.; Smayling, Michael C., Integrated circuit with offset line end spacings in linear gate electrode level.
  68. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit within semiconductor chip including cross-coupled transistor configuration.
  69. Dallavalle Carlo,ITX ; Confalonieri Pierangelo,ITX, Library of standard cells for the design of integrated circuits.
  70. Becker, Scott T.; Mali, Jim; Lambert, Carole, Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes.
  71. Cheung Gary H. ; Lozano Elias ; Nguyen Trung ; Colwell Michael J. ; Atkinson Kevin, Low profile variable width input/output cells.
  72. Fujii,Shinji; Morimoto,Toshiki, Master slice type semiconductor integrated circuit device.
  73. Nelson,Michael D., Method for storing and shipping programmable ASSP devices.
  74. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the Same.
  75. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the same.
  76. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and semiconductor devices implementing the same.
  77. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  78. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  79. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  80. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  81. Reed, Brian; Smayling, Michael C.; Becker, Scott T., Methods for controlling microloading variation in semiconductor wafer layout and fabrication.
  82. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  83. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  84. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  85. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  86. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  87. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  88. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  89. Gazdzinski, Robert F., Methods of processing data obtained from medical device.
  90. Gazdzinski, Robert F., Methods of processing data obtained from medical device.
  91. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  92. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  93. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  94. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  95. Hideki Takeuchi JP; Masami Murakata JP; Masaaki Yamada JP; Reiko Nojima JP; Takashi Ishioka JP; Mutsunori Igarashi JP, Noise suppression circuit, ASIC, navigation apparatus communication circuit, and communication apparatus having the same.
  96. Takeuchi,Hideki; Murakata,Masami; Yamada,Masaaki; Nojima,Reiko; Ishioka,Takashi; Igarashi,Mutsunori, Noise suppression circuit, ASIC, navigation apparatus, communication circuit, and communication apparatus having the same.
  97. Takeuchi,Hideki; Murakata,Masami; Yamada,Masaaki; Nojima,Reiko; Ishioka,Takashi; Igarashi,Mutsunori, Noise suppression circuit, ASIC, navigation apparatus, communication circuit, and communication apparatus having the same.
  98. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  99. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  100. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  101. Herberholz, Rainer, RF CMOS transistor design.
  102. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  103. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  104. Becker, Scott T.; Smayling, Michael C., Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures.
  105. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid.
  106. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid.
  107. Kornachuk, Stephen; Mali, James; Lambert, Carole; Becker, Scott T.; Reed, Brian, Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires.
  108. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  109. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  110. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods.
  111. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit defined within dynamic array section.
  112. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  113. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  114. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same.
  115. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same.
  116. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures.
  117. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same.
  118. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first metal structures.
  119. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures.
  120. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods.
  121. Stager Mark P. ; Yee Abraham F. ; Padmanabhan Gobi R., Semiconductor chip package with interconnect layers and routing and testing methods.
  122. Mizutani Hiroshi,JPX, Semiconductor device having FETs with shared source and drain regions.
  123. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  124. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length.
  125. Kasai Naoki,JPX, Semiconductor memory device having memory cells similarly layouted and peripheral circuits symmetrically layouted in memory cell arrays.
  126. Kato Hiroyuki (Kanagawa JPX) Ito Takaharu (Kanagawa JPX), Semiconductor semicustom-made integrated circuit device having component transistors variable in gain for forming basic.
  127. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  128. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  129. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  130. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로