$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Computer processor with an efficient means of executing many instructions simultaneously 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/38
출원번호 US-0184355 (1994-01-21)
발명자 / 주소
  • Branigin Michael H. (151 Ivy Hills Rd. Southbury CT 06488)
인용정보 피인용 횟수 : 222  인용 특허 : 0

초록

To increase the performance of a pipelined processor executing instructions, conditional instruction execution issues and executes instructions, including but not limited to branches, before the controlling conditions may be available and makes the decision to update the destination as late as possi

대표청구항

A pipelined processor for executing instructions comprising: a conditional execution decision logic pipeline stage, a least one instruction execution pipeline stage prior to said conditional execution decision logic pipeline stage; at least one condition code; said instructions including branch inst

이 특허를 인용한 특허 (222)

  1. Abdallah, Mohammad, Accelerated code optimizer for a multiengine microprocessor.
  2. Alexander, Khary J.; Busaba, Fadi Y.; Curran, Brian W.; Hutton, David S.; Malley, Edward T.; Prasky, Brian R.; Rell, Jr., John G., Accelerated execution of execute instruction target.
  3. Alexander, Khary J.; Busaba, Fadi Y.; Curran, Brian W.; Hutton, David S.; Malley, Edward T.; Prasky, Brian R.; Rell, Jr., John G., Accelerated execution of target of execute instruction.
  4. Wilson, Sophie, Accessing a test condition for multiple sub-operations using a test register.
  5. Yeager Kenneth, Address queue.
  6. Borkenhagen John Michael ; Flynn William Thomas ; Wottreng Andrew Henry, Altering thread priorities in a multithreaded processor.
  7. Egger, Bernhard; Ryu, Soo-jung; Yoo, Dong-hoon; Park, Il-hyun, Apparatus and method for generating VLIW, and processor and method for processing VLIW.
  8. Wybenga, Jack C.; Sturm, Patricia K., Apparatus and method for performing high-speed lookups in a routing table.
  9. Abdallah, Mohammad A., Apparatus and method for processing an instruction matrix specifying parallel and dependent operations.
  10. Henry, G. Glenn; Hooker, Rodney E., Apparatus and method for speculatively forwarding storehit data based on physical page index compare.
  11. Borkenhagen John Michael ; Eickemeyer Richard James ; Flynn William Thomas ; Kunkel Steven R. ; Levenstein Sheldon Bernard ; Wottreng Andrew Henry, Apparatus and method to guarantee forward progress in execution of threads in a multithreaded processor.
  12. Gillies,David Mitford; Chaiken,Ronnie Ira, Assigning free register to unmaterialized predicate in inverse predicate expression obtained for branch reversal in predicated execution system.
  13. Meijer, Erik; Kulkarni, Dinesh Chandrakant; Warren, Matthew J.; Hejlsberg, Anders, Automated client/server operation partitioning.
  14. Bordelon, Adam L.; Dye, Robert E.; Yi, Haoran; Fletcher, Mary E., Automatically creating parallel iterative program code in a data flow program.
  15. Borkenhagen John M. ; Eickemeyer Richard J. ; Levenstein Sheldon B. ; Wottreng Andrew H. ; Averill Duane A. ; Brookhouser James I., Background completion of instruction and associated fetch request in a multithread processor.
  16. Gonion, Jeffry E., Branch misprediction behavior suppression on zero predicate branch mispredict.
  17. Abdallah, Mohammad A., Cache storing data fetched by address calculating load instruction with label used as associated name for consuming instruction to refer.
  18. Abdallah, Mohammad A., Cache storing data fetched by address calculating load instruction with label used as associated name for consuming instruction to refer.
  19. Christie, David S., Central processing unit (CPU) accessing an extended register set in an extended register mode.
  20. Slegel, Timothy J.; Heller, Lisa C.; Pfeffer, Erwin F.; Plambeck, Kenneth E., Clearing selected storage translation buffer entries based on table origin address.
  21. Slegel, Timothy J; Heller, Lisa C; Pfeffer, Erwin F; Plambeck, Kenneth E, Clearing selected storage translation buffer entries bases on table origin address.
  22. Gainey, Jr., Charles W.; Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Compare and delay instructions.
  23. Gainey, Jr., Charles W.; Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Compare and delay instructions.
  24. Yap, Tom, Compound complex instruction set computer (CCISC) processor architecture.
  25. Jacobs Eino ; Ang Michael, Compressed Instruction format for use in a VLIW processor.
  26. Jacobs, Eino; Ang, Michael, Compressed instruction format for use in a VLIW processor.
  27. Jacobs, Eino; Ang, Michael, Compressed instruction format for use in a VLIW processor.
  28. Francone Frank D. ; Nordin Peter,SEX ; Banzhaf Wolfgang,DEX, Computer implemented machine learning and control system.
  29. Nordin Peter,SEX ; Banzhaf Wolfgang,DEX, Computer implemented machine learning method and system.
  30. Dwyer ; III Harry (Endicott NY), Computer organization for multiple and out-of-order execution of condition code testing and setting instructions.
  31. Dwyer ; III Harry, Computer organization for multiple and out-of-order execution of condition code testing and setting instructions out-o.
  32. Hansen, Craig; Moussouris, John; Massalin, Alexia, Computer system for executing switch and table translate instructions requiring wide operands.
  33. Dwyer ; III Harry, Computer system having organization for multiple condition code setting and for testing instruction out-of-order.
  34. Morris Dale C. ; Stumpf Bernard L. ; Flahive Barry J. ; Kurtze Jeffrey D. ; Burger Stephen G. ; Lee Ruby B. L. ; Bryg William R., Computer that selectively forces ordered execution of store and load operations between a CPU and a shared memory.
  35. Wilson, Sophie, Conditional branch instruction capable of testing a plurality of indicators in a predicate register.
  36. Seal, David James; Craske, Simon John, Conditional compare instruction.
  37. Wilson,Sophie, Conditional execution of instructions in a computer.
  38. Wilson,Sophie, Conditional execution per lane.
  39. Wilson, Sophie, Conditional execution with multiple destination stores.
  40. Wilson,Sophie, Conditional execution with multiple destination stores.
  41. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional instruction end operation.
  42. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional instruction end operation.
  43. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional instruction end operation.
  44. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional transaction end instruction.
  45. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional transaction end instruction.
  46. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional transaction end instruction.
  47. Greiner, Dan F.; Jacobi, Christian; Mitran, Marcel; Schmidt, Donald W.; Slegel, Timothy J., Conditional transaction end instruction.
  48. Pedersen, Ronny, Data processing apparatus and method for performing vector processing.
  49. Eisen Susan Elizabeth ; Phillips James Edward, Data processing system and method for implementing an efficient out-of-order issue mechanism.
  50. Bates, Cary Lee; Schmidt, William Jon, Debugger impact reduction through breakpoint motion.
  51. Bates, Cary Lee; Schmidt, William Jon, Debugger impact reduction through motion of induction variable based breakpoints.
  52. Abdallah, Mohammad, Decentralized allocation of resources and interconnect structures to support the execution of instruction sequences by a plurality of engines.
  53. Devereux, Ian Victor, Early condition code evaluation at pipeline stages generating pass signals for controlling coprocessor pipeline executing same conditional instruction.
  54. Muthukumar, Kalyan; Chen, Dong-Yuan; Wu, Youfeng; Lavery, Daniel M., Early exit transformations for software pipelining.
  55. Stark, Gavin J., Efficient conditional instruction having companion load predicate bits instruction.
  56. Calder, Jeffrey W.; Sun, Tong, Efficient implementation of branch intensive algorithms in VLIW and superscalar processors.
  57. Abdallah, Mohammad, Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines.
  58. Abdallah, Mohammad, Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines.
  59. Dubey Pradeep Kumar ; Barton Charles Marshall ; Chuang Chiao-Mei ; Lam Linh Hue ; O'Brien John Kevin ; O'Brien Kathryn Mary, Executing speculative parallel instructions threads with forking and inter-thread communication.
  60. Flynn William Thomas, Facilities for detailed software performance analysis in a multithreaded processor.
  61. Slegel, Timothy J.; Heller, Lisa C.; Pfeffer, Erwin F.; Gaertner, Ute, Filtering processor requests based on identifiers.
  62. Yap, Tom, Flowchart compiler for a compound complex instruction set computer (CCISC) processor architecture.
  63. Bershteyn, Mikhail; Poplack, Mitchell G.; Elmufdi, Beshara G., Hardware emulation system having a heterogeneous cluster of processors.
  64. Kang, Sangyeol; Miclea, Ovidiu Cristian; Verrall, Stephen Michael, Hierarchical synthesis of computer machine instructions.
  65. Witt David B. (Austin TX) Johnson William M. (Austin TX), High performance superscalar microprocessor including a common reorder buffer and common register file for both integer.
  66. Szanto, Gabor; Vlaskovits, Alexander Patrick, High-efficiency digital signal processing of streaming media.
  67. Chu, Sam G.; Kaltenbach, Markus; Le, Hung Q.; Leenstra, Jentje; Moreira, Jose E.; Nguyen, Dung Q.; Thompto, Brian W., Independent mapping of threads.
  68. Chu, Sam G.; Kaltenbach, Markus; Le, Hung Q.; Leenstra, Jentje; Moreira, Jose E.; Nguyen, Dung Q.; Thompto, Brian W., Independent mapping of threads.
  69. Kawano Hiroshi,JPX ; Asakawa Takeo,JPX, Information processor.
  70. Sachs,Howard G.; Arya,Siamak, Instruction cache association crossbar switch.
  71. Krishna Ravi ; Ben-Meir Amos ; Favor John G., Integration of multi-stage execution units with a scheduler for single-stage execution units.
  72. Klingman, Edwin E., Intelligent memory device clock distribution architecture.
  73. Klingman, Edwin E., Intelligent memory device having ASCII-named task registers mapped to addresses of a task.
  74. Klingman, Edwin E., Intelligent memory device multilevel ASCII interpreter.
  75. Klingman, Edwin E., Intelligent memory device with ASCII registers.
  76. Klingman, Edwin E., Intelligent memory device with variable size task architecture.
  77. Klingman, Edwin E., Intelligent memory device with wakeup feature.
  78. Abdallah, Mohammad, Interconnect system to support the execution of instruction sequences by a plurality of partitionable engines.
  79. Klingman, Edwin E., Interface including task page mechanism with index register between host and an intelligent memory interfacing multitask controller.
  80. Slegel, Timothy J; Heller, Lisa C; Pfeffer, Erwin F; Plambeck, Kenneth E, Invalidating a range of two or more translation table entries and instruction therefore.
  81. Slegel, Timothy J; Heller, Lisa C; Pfeffer, Erwin F; Plambeck, Kenneth E, Invalidating a range of two or more translation table entries and instruction therefore.
  82. Slegel,Timothy J.; Heller,Lisa C.; Pfeffer,Erwin F.; Plambeck,Kenneth E., Invalidating storage, clearing buffer entries, and an instruction therefor.
  83. David B. Witt ; Thang M. Tran, Line-oriented reorder buffer configured to selectively store a memory operation result in one of the plurality of reorder buffer storage locations corresponding to the executed instruction.
  84. Brownscheidle, Jeffrey Carl; Chadha, Sundeep; Delaney, Maureen Anne; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Linkable issue queue parallel execution slice for a processor.
  85. Brownscheidle, Jeffrey Carl; Chadha, Sundeep; Delaney, Maureen Anne; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Linkable issue queue parallel execution slice processing method.
  86. Greiner, Dan F.; Sittmann, III, Gustav E., Local clearing control.
  87. Pike, Geoffrey, Malicious code detection.
  88. Eickemeyer, Richard J.; Hrusecky, David A.; McGlone, Elizabeth A.; Thompto, Brian W.; Van Norstrand, Jr., Albert J., Managing a divided load reorder queue.
  89. Christie David S., Mechanism for forwarding operands based on predicated instructions.
  90. Abdallah, Mohammad, Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  91. Claes Hammar SE; Magnus Jacobsson SE; Stefan Hakansson SE, Method and apparatus for distributing commands to a plurality of circuit blocks.
  92. Totsuka, Yonetaro, Method and apparatus for enable/disable control of SIMD processor slices.
  93. Henry G. Glenn ; Parks Terry, Method and apparatus for improved aligned/misaligned data load from cache.
  94. Wolczko Mario I. ; Ungar David M., Method and apparatus for improving compiler performance during subsequent compilations of a source program.
  95. Srinivas, Mysore Sathyanarayana; Vanfleet, James William; Whitworth, David Blair, Method and apparatus for managing access contention to a linear list without the use of locks.
  96. Mang, Michael Andrew; Mantor, Michael; Hartog, Robert Scott, Method and apparatus for memory latency avoidance in a processing system.
  97. Edward T. Grochowski ; Hans J. Mulder, Method and apparatus for performing predicate prediction.
  98. Grochowski,Edward T.; Mulder,Hans J., Method and apparatus for performing predicate prediction.
  99. Batten, Dean; D'Arcy, Paul Gerard; Glossner, C. John; Jinturkar, Sanjay; Thilo, Jesse; Wires, Kent E., Method and apparatus for reducing power consumption in a pipelined processor.
  100. Borkenhagen, John Michael; Eickemeyer, Richard James; Flynn, William Thomas; Wottreng, Andrew Henry, Method and apparatus for selecting thread switch events in a multithreaded processor.
  101. Bhushan K N,Keerthi; Chatterjee,Arijit, Method and apparatus for using predicates in a processing device.
  102. Borkenhagen John Michael ; Eickemeyer Richard James ; Flynn William Thomas ; Wottreng Andrew Henry, Method and apparatus to force a thread switch in a multithreaded processor.
  103. Ebcioglu Kemal ; Kiefer Kenneth J. ; Luick David Arnold ; Silberman Gabriel Mauricio ; Winterfield Philip Braun, Method and apparatus to select the next instruction in a superscalar or a very long instruction word computer having N-way branching.
  104. Drescher, Wolfram, Method and arrangement for bringing together data on parallel data paths.
  105. Yasoshima Hiroyuki ; Kabuo Hideyuki,JPX, Method and circuit for delayed branch control and method and circuit for conditional-flag rewriting control.
  106. Yasoshima Hiroyuki ; Kabuo Hideyuki,JPX, Method and circuit for delayed branch control and method and circuit for conditional-flag rewriting control.
  107. Kahle James A. (Austin TX) Loper Albert J. (Cedar Park TX) Mallick Soummya (Austin TX) Ogden Aubrey D. (Round Rock TX), Method and device for early deallocation of resources during load/store multiple operations to allow simultaneous dispat.
  108. Wilson, Sophie, Method and system for executing conditional instructions using a test register address that points to a test register from which a test code is selected.
  109. Song Seungyoon Peter, Method and system for reordering instructions after dispatch in a processing system.
  110. Kahle James Allan ; Kau Chin-Cheng ; Ogden Aubrey Deene ; Poursepanj Ali Asghar ; Tu Paul Kang-Guo ; Waldecker Donald Emil, Method and system for selective serialization of instruction processing in a superscalar processor system.
  111. Abdallah, Mohammad, Method for dependency broadcasting through a block organized source view data structure.
  112. Abdallah, Mohammad, Method for dependency broadcasting through a source organized source view data structure.
  113. Abdallah, Mohammad, Method for emulating a guest centralized flag architecture by using a native distributed flag architecture.
  114. Abdallah, Mohammad, Method for executing multithreaded instructions grouped into blocks.
  115. Abdallah, Mohammad, Method for executing multithreaded instructions grouped into blocks.
  116. Abdallah, Mohammad, Method for implementing a reduced size register view data structure in a microprocessor.
  117. Abdallah, Mohammad A., Method for implementing a reduced size register view data structure in a microprocessor.
  118. Hansen, Craig; Moussouris, John; Massalin, Alexia, Method for performing computations using wide operands.
  119. Abdallah, Mohammad, Method for performing dual dispatch of blocks and half blocks.
  120. Abdallah, Mohammad, Method for performing dual dispatch of blocks and half blocks.
  121. Abdallah, Mohammad, Method for populating a source view data structure by using register template snapshots.
  122. Abdallah, Mohammad, Method for populating and instruction view data structure by using register template snapshots.
  123. Abdallah, Mohammad, Method for populating register view data structure by using register template snapshots.
  124. Faraboschi Paolo ; Raje Prasad, Method for storing and decoding instructions for a microprocessor having a plurality of function units.
  125. Ussery Cary ; Levia Oz ; Ryan Raymond, Method of generating application specific integrated circuits using a programmable hardware architecture.
  126. Megiddo Nimrod ; Sarkar Vivek, Method of, system for, and computer program product for minimizing loop execution time by optimizing block/tile sizes.
  127. Siegel,Timothy J.; Heller,Lisa C.; Pfeffer,Erwin F.; Plambeck,Kenneth E., Method, system and program product for clearing selected storage translation buffer entries.
  128. Slegel,Timothy J.; Heller,Lisa C.; Pfeffer,Erwin F.; Plambeck,Kenneth E., Method, system and program product for invalidating a range of selected storage translation table entries.
  129. Safford, Kevin David; Knebel, Patrick, Methods and apparatus for exchanging the contents of registers.
  130. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  131. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  132. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  133. Abdallah, Mohammad; Groen, Ankur; Gunadi, Erika; Singh, Mandeep; Rao, Ravishankar, Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation.
  134. Dutton Drew J. ; Christie David S., Microprocessor and method of using a segment override prefix instruction field to expand the register file.
  135. Yamada Akira,JPX ; Yoshida Toyohiko,JPX ; Kengaku Toru,JPX, Microprocessor capable of executing condition execution instructions using encoded condition execution field in the inst.
  136. Dutton Drew J. ; Christie David S., Microprocessor using an instruction field to specify condition flags for use with branch instructions and a computer sys.
  137. Dutton Drew J. (Austin TX) Christie David S. (Austin TX), Microprocessor using an instruction field to specify expanded functionality and a computer system employing same.
  138. Wilson, Sophie, Microprocessor with high speed memory integrated in load/store unit to efficiently perform scatter and gather operations.
  139. Wilson, Sophie; Redford, John E., Microprocessor with integrated high speed memory.
  140. Wilson, Sophie; Redford, John E., Microprocessor with integrated high speed memory.
  141. Webb Charles Franklin ; Farrell Mark Steven ; Slegel Timothy John, Millicode flags with specialized update and branch instructions.
  142. Pappalardo, Francesco; Notarangelo, Giuseppe; Guidetti, Elio, Multidimensional processor architecture.
  143. Cho Seongrai ; Park Heonchul ; Song Seungyoon Peter, Multifunction data aligner in wide data width processor.
  144. Gosior,Jason; Broughton,Colin; Jacobsen,Phillip; Sobota,John, Multithread embedded processor with input/output capability.
  145. Eickemeyer Richard James ; Kossman Harold F., Multithreaded processor incorporating a thread latch register for interrupt service new pending threads.
  146. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  147. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  148. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  149. Cordes, Robert A.; Hrusecky, David A.; Molnar, Jennifer L.; Paredes, Jose A.; Thompto, Brian W., Operation of a multi-slice processor implementing simultaneous two-target loads and stores.
  150. Cordes, Robert A.; Hrusecky, David A.; Molnar, Jennifer L.; Paredes, Jose A.; Thompto, Brian W., Operation of a multi-slice processor implementing simultaneous two-target loads and stores.
  151. Chadha, Sundeep; Hrusecky, David A.; McGlone, Elizabeth A.; Molnar, Jennifer L., Operation of a multi-slice processor preventing early dependent instruction wakeup.
  152. Fernsler, Kimberly M.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A.; Thompto, Brian W., Operation of a multi-slice processor with an expanded merge fetching queue.
  153. Lin Fen-Ling ; Smith Bryan F. ; Wang Yun, Pacing of multiple producers when information is required in natural order.
  154. Agarwal Ramesh Chandra, Parallel processing method having arithmetical conditions code based instructions substituted for conventional branches.
  155. Ayub, Salma; Chadha, Sundeep; Cordes, Robert Allen; Hrusecky, David Allen; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries.
  156. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Parallel slice processor with dynamic instruction stream mapping.
  157. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Parallel slice processor with dynamic instruction stream mapping.
  158. Nakajima Masaitsu,JPX, Pipeline data processing apparatus and method for executing a plurality of data processes having a data-dependent relati.
  159. Krygowski Christopher A. ; Schwarz Eric Mark, Pipelined floating point stores.
  160. Sheaffer, Gad S., Pre-steering register renamed instructions to execution unit associated locations in instruction cache.
  161. Grochowski,Edward T.; Mulder,Hans J.; Hummel,Vincent E., Predicate prediction based on a predicated predicate value.
  162. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Processing of multiple instruction streams in a parallel slice processor.
  163. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Processing of multiple instruction streams in a parallel slice processor.
  164. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Processing of multiple instruction streams in a parallel slice processor.
  165. Hansen, Craig; Moussouris, John; Massalin, Alexia, Processor architecture for executing wide transform slice instructions.
  166. Hansen, Craig; Moussouris, John; Massalin, Alexia, Processor architecture for executing wide transform slice instructions.
  167. Sakamoto, Mariko; Yoshida, Toshio, Processor device for out-of-order processing having reservation stations utilizing multiplexed arithmetic pipelines.
  168. Hansen, Craig; Moussouris, John; Massalin, Alexia, Processor for executing wide operand operations using a control register and a results register.
  169. Hansen, Craig; Moussouris, John; Massalin, Alexia, Processor for executing wide operand operations using a control register and a results register.
  170. Hansen, Craig; Moussouris, John; Massalin, Alexia, Processor for performing operations with two wide operands.
  171. Sharangpani Harshvardhan P. (Santa Clara CA) Fielden Kent G. (Sunnyvale CA) Mulder Hans J. (San Francisco CA), Processor microarchitecture for efficient dynamic scheduling and execution of chains of dependent instructions.
  172. Christie David S. ; Kranich Uwe,DEX, Processor programably configurable to execute enhanced variable byte length instructions including predicated execution, three operand addressing, and increased register space.
  173. Takayama Shuichi,JPX ; Odani Kensuke,JPX ; Tanaka Akira,JPX ; Higaki Nobuo,JPX ; Suzuki Masato,JPX ; Tanaka Tetsuya,JPX ; Heishi Taketo,JPX ; Miyaji Shinya,JPX, Processor using less hardware and instruction conversion apparatus reducing the number of types of instructions.
  174. Takayama, Shuichi; Odani, Kensuke; Tanaka, Akira; Higaki, Nobuo; Suzuki, Masato; Tanaka, Tetsuya; Heishi, Taketo; Miyaji, Shinya, Processor using less hardware and instruction conversion apparatus reducing the number of types of instructions.
  175. Christie David S. ; White Scott A. ; Goddard Michael D., Program counter update mechanism.
  176. David S. Christie ; Scott A. White ; Michael D. Goddard, Program counter update mechanism.
  177. Tabata, Kunio; Komatsu, Hideaki, Program optimization method, and compiler using the same.
  178. Freeman Jackie Andrew, Programmable branch prediction system and method for inserting prediction operation which is independent of execution o.
  179. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable parallel execution and load-store slice processor.
  180. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable processing method with modes controlling the partitioning of clusters and cache slices.
  181. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable processor with load-store slices supporting reorder and controlling access to cache slices.
  182. Abdallah, Mohammad, Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  183. Abdallah, Mohammad, Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  184. Boersma, Maarten J.; Kaltenbach, Markus; Lang, David; Leenstra, Jentje, Register files for storing data operated on by instructions of multiple widths.
  185. Boersma, Maarten J.; Kaltenbach, Markus; Lang, David; Leenstra, Jentje, Register files for storing data operated on by instructions of multiple widths.
  186. Starke, William J.; Temple, III, Joseph L., Register pipe for multi-processing engine environment.
  187. Trimberger Stephen M., Reprogrammable instruction set accelerator.
  188. Trimberger Stephen M., Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page tab.
  189. Williamson, David James; Harris, Glen Andrew; Hill, Stephen John, Result bypassing to override a data hazard within a superscalar processor.
  190. Pontius, Timothy A., Selecting a cache design for a computer system using a model with a seed cache to generate a trace.
  191. Asaad,Sameh; Moreno,Jaime H.; Zyuban,Victor, Selective bypassing of a multi-port register file.
  192. Wilson, Sophie, Setting condition values in a computer.
  193. Wilson, Sophie, Setting condition values in a computer.
  194. Abdallah, Mohammad, Single cycle multi-branch prediction including shadow cache for early far branch prediction.
  195. Gschwind, Michael K., Single operation array index computation.
  196. Chauvel, Gerard; Lasserre, Serge; D'Inverno, Dominique, Stack register reference control bit in source operand of instruction.
  197. Bradford, Jeffrey P.; Luick, David A., System and method for a group priority issue schema for a cascaded pipeline.
  198. Luick, David A., System and method for optimization within a group priority issue schema for a cascaded pipeline.
  199. Luick, David A., System and method for prioritizing arithmetic instructions.
  200. Luick, David A., System and method for prioritizing branch instructions.
  201. Luick, David A., System and method for prioritizing compare instructions.
  202. Luick, David A., System and method for prioritizing store instructions.
  203. Wilson,Sophie, System and method for selectively controlling operations in lanes.
  204. Wilson, Sophie, System and method for selectively controlling operations in lanes in an execution unit of a computer.
  205. Luick, David A, System and method for the scheduling of load instructions within a group priority issue schema for a cascaded pipeline.
  206. Hansen, Craig; Moussouris, John; Massalin, Alexia, System and methods for expandably wide processor instructions.
  207. Hansen, Craig; Moussouris, John; Massalin, Alexia, System and methods for expandably wide processor instructions.
  208. Kramer, James F.; Ning, Paul C., System for marketing campaign specification and secure digital coupon redemption.
  209. Burrows, Andrew P.; Bowers, Andrew M., System performance prediction.
  210. Hussain, Muhammad R.; Badr, Imran; Masood, Faisal; Dickinson, Philip H.; Kessler, Richard E.; Katz, Daniel A.; Bertone, Michael S.; Sanzone, Robert A.; Hummel, Thomas F.; Bouchard, Gregg A., TCP engine.
  211. Borkenhagen, John Michael; Eickemeyer, Richard James; Flynn, William Thomas; Levenstein, Sheldon Bernard; Wottreng, Andrew Henry, Thread switch control in a multithreaded processor system.
  212. Doing Richard W. ; Kalla Ronald Nick, Thread switch tuning tool for optimal performance in a computer processor.
  213. Manet, Philippe; Rousseau, Bertrand, Tile-based processor architecture model for high-efficiency embedded homogeneous multicore platforms.
  214. Christie David S. ; Kranich Uwe,DEX, Transparent extended state save.
  215. Adir, Allon; Herold, Brad Lee; Ludden, John Martin; Martin-de-Nicolas, Pedro; Meissner, Charles Leverett; Shurek, Gil Eliezer, Two pass test case generation using self-modifying instruction replacement.
  216. Christie, David S.; McGrath, Kevin J., Uniform register addressing using prefix byte.
  217. Sachs, Howard G.; Arya, Siamak, VLIW processor and method therefor.
  218. Jacobs Eino ; Ang Michael, VLIW processor which processes compressed instruction format.
  219. Kramer, James F.; Ning, Paul C., Verification of redemption of an electronic offer.
  220. Kramer, James F.; Ning, Paul C., Verification of redemption of an electronic offer.
  221. Chen, Jr., Wen-Tzer Thomas; Bell, Jr., Robert H.; Frey, Bradly G., Virtual unifed instruction and data caches including storing program instructions and memory address in CAM indicated by store instruction containing bit directly indicating self modifying code.
  222. Klingman, Edwin E., iMEM ASCII architecture for executing system operators and processing data operators.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로