$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of anisotropically etching silicon

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C25F-003/12
  • B44C-001/22
출원번호 US-0284490 (1994-08-05)
우선권정보 DE-4241045 (1992-12-05)
국제출원번호 PCT/DE93/01129 (1993-11-27)
§371/§102 date 19940805 (19940805)
국제공개번호 WO-9414187 (1994-06-23)
발명자 / 주소
  • Laermer Franz (Stuttgart DEX) Schilp Andrea (Schwbisch Gmnd DEX)
출원인 / 주소
  • Robert Bosch GmbH (Stuttgart DEX 03)
인용정보 피인용 횟수 : 524  인용 특허 : 0

초록

A method of anisotropic plasma etching of silicon to provide laterally defined recess structures therein through an etching mask employing a plasma, the method including anisotropic plasma etching in an etching step a surface of the silicon by contact with a reactive etching gas to removed material

대표청구항

A method of anisotropic plasma etching of silicon to provide laterally defined recess structures therein through an etching mask employing a plasma, the method comprising: a. anisotropic plasma etching in an etching step a surface of the silicon by contact with a reactive etching gas to removed mate

이 특허를 인용한 특허 (524)

  1. Sanders, Paul W.; Jones, Robert E.; Petras, Michael F.; Ramiah, Chandrasekaram, 3-D circuits with integrated passive devices.
  2. Fujii, Tetsuo, Acceleration sensor and process for the production thereof.
  3. Fujii, Tetsuo, Acceleration sensor and process for the production thereof.
  4. Fujii,Tetsuo, Acceleration sensor and process for the production thereof.
  5. Aksyuk, Vladimir A.; Lopez, Omar D.; Pardo, Flavio; Simon, Maria E., Amplification of MEMS motion.
  6. Alden, Don, Analyte measurement device with a single shot actuator.
  7. Alden, Don, Analyte measurement device with a single shot actuator.
  8. Randy J. Shul ; Stanley H. Kravitz ; Todd R. Christenson ; Thomas E. Zipperian ; David Ingersoll, Apparatus and method for fabricating a microbattery.
  9. Kang, Wonmo; Saif, M. Taher A., Apparatus and method for in situ testing of microscale and nanoscale samples.
  10. Kang, Wonmo; Saif, M. Taher A., Apparatus and method for in situ testing of microscale and nanoscale samples.
  11. Han, Jong H.; Saif, M. Taher A.; Uchic, Michael D., Apparatus and method for material testing of microscale and nanoscale samples.
  12. Freeman, Dominique; Schulte, Thomas, Apparatus and method for penetration with shaft having a sensor for sensing penetration depth.
  13. Haque, Amanul; Saif, Muhammed Taher Abu, Apparatus and method for testing of microscale to nanoscale thin films.
  14. Okandan, Murat; Galambos, Paul C., Apparatus and method for transforming living cells.
  15. Hiralal, Pritesh; Colli, Alan, Apparatus comprising nanowires.
  16. Hughes, Robert C.; Manginell, Ronald P.; Jenkins, Mark W.; Kottenstette, Richard; Patel, Sanjay V., Apparatus for sensing volatile organic chemicals in fluids.
  17. Rogers, John A.; Ying, Ming; Bonifas, Andrew; Lu, Nanshu, Appendage mountable electronic devices conformable to surfaces.
  18. Rogers, John A; Ying, Ming; Bonifas, Andrew; Lu, Nanshu, Appendage mountable electronic devices conformable to surfaces.
  19. Kaul, Anupama B.; Epp, Larry W.; Bagge, Leif, Applications and methods of operating a three-dimensional nano-electro-mechanical resonator and related devices.
  20. Sanfilippo, Delfo Nunziato; Sciacca, Emilio Antonio; Fallica, Piero Giorgio; Lombardo, Salvatore Antonio, Array of mutually insulated Geiger-mode avalanche photodiodes, and corresponding manufacturing process.
  21. Sanfilippo, Delfo Nunziato; Sciacca, Emilio Antonio; Fallica, Piero Giorgio; Lombardo, Salvatore Antonio, Array of mutually insulated Geiger-mode avalanche photodiodes, and corresponding manufacturing process.
  22. Sanfilippo, Delfo Nunziato; Fallica, Piero Giorgio, Array of mutually isolated, geiger-mode, avalanche photodiodes and manufacturing method thereof.
  23. Sanfilippo, Delfo Nunziato; Fallica, Piero Giorgio, Array of mutually isolated, geiger-mode, avalanche photodiodes and manufacturing method thereof.
  24. Agarwal, Pulkit; Kumar, Purushottam; LaVoie, Adrien, Atomic layer etch methods and hardware for patterning applications.
  25. Tan, Zhongkui; Zhang, Yiting; Wu, Ying; Xu, Qing; Fu, Qian; Yamaguchi, Yoko; Cui, Lin, Atomic layer etching in continuous plasma.
  26. Yang, Wenbing; Tan, Samantha; Kanarik, Keren Jacobs; Marks, Jeffrey; Kim, Taeseung; Shen, Meihua; Lill, Thorsten, Atomic layer etching of tungsten and other metals.
  27. Lai, Chiukin Steven; Kanarik, Keren Jacobs; Tan, Samantha; Chandrashekar, Anand; Su, Teh-tien; Yang, Wenbing; Wood, Michael; Danek, Michal, Atomic layer etching of tungsten for enhanced tungsten deposition fill.
  28. Lee, Jin-Hyoung; Shubin, Ivan; Zheng, Xuezhe; Krishnamoorthy, Ashok V., Back-side etching and cleaving of substrates.
  29. Costello,Kenneth A; Fairbairn,Kevin P.; Brown,David W.; Chung,Yun; Gober,Patricia; Yin,Edward, Backside imaging through a doped layer.
  30. Costello,Kenneth A; Fairbairn,Kevin P.; Brown,David W.; Chung,Yun; Gober,Patricia; Yin,Edward, Backside thinning of image array devices.
  31. Costello,Kenneth A; Fairbairn,Kevin P.; Brown,David W.; Chung,Yun; Gober,Patricia; Yin,Edward, Backside thinning of image array devices.
  32. Costello, Kenneth A.; Yin, Edward; Pelczynski, Michael Wayne; Aebi, Verle W., Backside-thinned image sensor using Al2 O3 surface passivation.
  33. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Bi-directional fluid ejection systems and methods.
  34. Garcia Tello, Pablo; Roozeboom, Freddy, Biosensor device and method of manufacturing the same.
  35. Freeman, Dominique M., Blood testing apparatus having a rotatable cartridge with multiple lancing elements and testing means.
  36. Freeman, Dominique; Wurster, Thomas; Malowaniec, Krzysztof D.; Diekmann, Christoph, Blood testing apparatus having a rotatable cartridge with multiple lancing elements and testing means.
  37. Freeman, Dominique; Alden, Don; Briggs, Barry Dean, Body fluid sampling device with a capacitive sensor.
  38. Freeman, Dominique M.; Alden, Don; Briggs, Barry Dean, Body fluid sampling device with capacitive sensor.
  39. Freeman, Dominique; Deshmukh, Ajay; Hegener, Jason; Shoup, Thomas; Eaton, Brent; Molho, Joshua; Wang, Amy; Perry, Jeffrey, Body fluid sampling module with a continuous compression tissue interface surface.
  40. Adams, Scott G.; Davis, Tim, Boundary isolation for microelectromechanical devices.
  41. Choi, In Sang; Castle, Mark; Jang, Hyunsuk, Cam drive for managing disposable penetrating member actions with a single motor and motor and control system.
  42. Adams, Scott G., Capacitance-based pressure sensor including pressure vessel(s).
  43. Sanborn, Graham; Ready, William Judson, Carbon nanotube field emission devices and methods of making same.
  44. Ghaffari, Roozbeh; Callsen, Gilman; Arora, William J.; Schlatka, Benjamin, Catheter balloon having stretchable integrated circuitry and sensor array.
  45. Winkler, Bernhard; Zankl, Andreas; Pruegl, Klemens; Kolb, Stefan, Cavity structures for MEMS devices.
  46. Winkler, Bernhard; Zankl, Andreas; Pruegl, Klemens; Kolb, Stefan, Cavity structures for MEMS devices.
  47. McChesney, Jon; Panagopoulos, Theo; Paterson, Alex; Blair, Craig, Chamber filler kit for plasma etch chamber useful for fast gas switching.
  48. Manginell Ronald P. ; Frye-Mason Gregory C., Chemical preconcentrator.
  49. Manginell, Ronald P.; Frye-Mason, Gregory C., Chemical preconcentrator with integral thermal flow sensor.
  50. Shen, Peng; Dussarrat, Christian; Anderson, Curtis; Gupta, Rahul; Omarjee, Vincent M.; Stafford, Nathan, Chemistries for TSV/MEMS/power device etching.
  51. Shen, Peng; Dussarrat, Christian; Anderson, Curtis; Gupta, Rahul; Omarjee, Vincent M.; Stafford, Nathan, Chemistries for TSV/MEMS/power device etching.
  52. Yang, Jialing; Zhou, Baosuo; Shen, Meihua; Lill, Thorsten; Hoang, John, Cobalt etch back.
  53. Costello, Kenneth A.; Roderick, Kevin J.; Yin, Edward; Fowler, Douglas, Collimator bonding structure and method.
  54. Toro, Esteban; Treusch, Sebastian; Chen, Siyuan; Wu, Cheng-Hsien, Compositions and methods for synthetic gene assembly.
  55. Rogers, John; Kim, Dae-Hyeong; Litt, Brian; Viventi, Jonathan, Conformable actively multiplexed high-density surface electrode array for brain interfacing.
  56. Fong,Joan; Qian,Wei; Zheng,Dawei; Shao,Zhian; Chung,Lih Jou; Yin,Xiaoming, Controlled selectivity etch for use with optical component fabrication.
  57. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  58. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  59. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  60. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  61. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  62. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre, De novo synthesized gene libraries.
  63. Cox, Anthony; Chen, Siyuan, De novo synthesized nucleic acid libraries.
  64. Heo, Dongho; Kim, Jisoo; Sadjadi, S. M. Reza, De-fluoridation process.
  65. Heo,Dongho; Kim,Jisoo; Sadjadi,S. M. Reza, De-fluoridation process.
  66. Andricacos,Panayotis; Cooper,Emanuel Israel; Dalton,Timothy Joseph; Deligianni,Hariklia; Guidotti,Daniel; Kwietniak,Keith Thomas; Steen,Michelle Leigh; Tsang,Cornelia Kang I, Deep filled vias.
  67. Greywall, Dennis S.; Marom, Dan Mark, Deformable MEMS mirror.
  68. Aksyuk,Vladimir A.; Lopez,Omar D.; Pardo,Flavio; Simon,Maria E., Deformable MEMS mirror with membrane actuated by application of torque.
  69. Greywall, Dennis S., Deformable segmented MEMS mirror.
  70. Aksyuk, Vladimir Anatolyevich; Bolle, Cristian A.; Jones, Christopher D. W.; Pardo, Flavio; Ryf, Roland; Simon, Maria Elina, Detector of infrared radiation having a bi-material transducer.
  71. Breitschwerdt,Klaus; Kutsch,Bernd; Laermer,Franz, Device and method for anisotropic plasma etching of a substrate, particularly a silicon element.
  72. Becker, Volker; Laermer, Franz; Schilp, Andrea, Device and method for etching a substrate using an inductively coupled plasma.
  73. Freeman, Dom; Boecker, Dirk, Device and method for variable speed lancet.
  74. Singh, Ranbir; Sidhartha, Sen; Rossi, Nace, Device and method to eliminate shorting induced by via to metal misalignment.
  75. Singh,Ranbir; Sidhartha,Sen; Rossi,Nace, Device and method to eliminate shorting induced by via to metal misalignment.
  76. Banyai, William; Peck, Bill James; Fernandez, Andres; Chen, Siyuan; Indermuhle, Pierre; Marsh, Eugene P., Devices and methods for oligonucleic acid library synthesis.
  77. Swiler, Thomas P.; Garcia, Ernest J.; Francis, Kathryn M., Die singulation method.
  78. Anderson, Robert C.; Shul, Randy J.; Clews, Peggy J.; Baker, Michael S.; De Boer, Maarten P., Die singulation method and package formed thereby.
  79. Hasenbein, Robert A.; Hoisington, Paul A.; Bibl, Andreas, Droplet ejection device.
  80. Tan, Samantha; Kim, Taeseung; Yang, Wenbing; Marks, Jeffrey; Lill, Thorsten, Dry plasma etch method to pattern MRAM stack.
  81. Christenson, Todd R.; Polosky, Marc A., Eddy-current-damped microelectromechanical switch.
  82. Christenson,Todd R.; Polosky,Marc A., Eddy-current-damped microelectromechanical switch.
  83. Letendre, William; Hasenbein, Robert; Gardner, Deane A., Ejection of drops having variable drop size from an ink jet printer.
  84. Alden, Don; Freeman, Dominique M., Electric lancet actuator.
  85. Parsey, Jr., John Michael; Grivna, Gordon M., Electronic device including a feature in an opening.
  86. Grivna, Gordon M., Electronic device including a via and a conductive structure, a process of forming the same, and an interposer.
  87. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; William M. Lindenfelser, Electronic drive systems and methods.
  88. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Electrospray nozzle and monolithic substrate.
  89. Syms, Richard, Electrospray pneumatic nebuliser ionisation source.
  90. Adams,Scott; Davis,Tim; Miller,Scott; Shaw,Kevin; Chong,John Matthew; Lee,Seung (Chris) Bok, Electrostatic actuator for microelectromechanical systems and methods of fabrication.
  91. Adams,Scott; Davis,Tim; Miller,Scott; Shaw,Kevin; Chong,John Matthew; Lee,Seung Bok (Chris), Electrostatic actuator for microelectromechanical systems and methods of fabrication.
  92. Adams, Scott; Davis, Tim; Miller, Scott; Shaw, Kevin; Chong, John Matthew; Lee, Seung Bok (Chris), Electrostatic actuator for micromechanical systems.
  93. Rafferty, Conor; Dalal, Mitul, Embedding thin chips in polymer.
  94. Johnson,David; Westerman,Russell, End point detection in time division multiplexed etch processes.
  95. Johnson,David; Westerman,Russell, Envelope follower end point detection in time division multiplexed processes.
  96. Aizenberg, Joanna; Kolodner, Paul Robert; Krupenkin, Thomas Nikita; Sydorenko, Oleksandr; Taylor, Joseph Ashley, Environmentally sensitive nanostructured surfaces.
  97. Sadjadi,S. M. Reza; Hudson,Eric A., Etch features with reduced line edge roughness.
  98. Jain, Amit; Fu, Qian; Lee, Wonchul, Etch with pulsed bias.
  99. Sadjadi,S. M. Reza; Cirigliano,Peter; Kim,Ji Soo; Huang,Zhisong; Hudson,Eric A., Etch with striation control.
  100. Ichiki,Katsunori; Yamauchi,Kazuo; Hiyama,Hirokuni; Samukawa,Seiji, Etching method and apparatus.
  101. Morikawa, Yasuhiro; Hayashi, Toshio; Suu, Koukou, Etching method and system.
  102. Mermoz, Sebastien; Di Cioccio, Lea; Magis, Thomas; Sanchez, Loic, Etching method for forming a carrier having inward side walls in particular for confining a droplet for capillary self-assembly.
  103. Khan, Anisul; Pamarthy, Sharma V; Thekdi, Sanjay; Kumar, Ajay, Etching multi-shaped openings in silicon.
  104. Donohue John F. ; Johnson David J. ; Devre Michael W., Etching process for producing substantially undercut free silicon on insulator structures.
  105. Haase, Michael A.; Smith, Terry L.; Zhang, Jun-Ying, Etching process for semiconductors.
  106. Olynick, Deirdre; Rangelow, Ivo; Chao, Weilun, Etching radical controlled gas chopped deep reactive ion etching.
  107. Arora, William J.; Ghaffari, Roozbeh, Extremely stretchable electronics.
  108. Arora, William J.; Ghaffari, Roozbeh, Extremely stretchable electronics.
  109. Arora, William J.; Ghaffari, Roozbeh, Extremely stretchable electronics.
  110. Louellet, Luc, Fabrication of MEMS devices with spin-on glass.
  111. Ouellet, Luc, Fabrication of MEMS devices with spin-on glass.
  112. Corso, Thomas N., Fabrication of a microchip-based electrospray device.
  113. Corso, Thomas N., Fabrication of a microchip-based electrospray device.
  114. Geen, John A.; Molnar, George M.; Davis, Gregory S.; Ma, Bruce; Cole, Kenneth J.; Timony, James; Flanders, Kenneth, Fabrication of tungsten MEMS structures.
  115. Elolampi, Brian; Ghaffari, Roozbeh; de Graff, Bassel; Arora, William; Hu, Xiaolong, Flexible electronic structure.
  116. Okandan,Murat; Wessendorf,Kurt O.; Christenson,Todd R., Flexible retinal electrode array.
  117. Cunningham, Brian T.; Williams, John, Flexural plate wave sensor and array.
  118. Hoisington, Paul A.; Hasenbein, Robert A., Fluid droplet ejection devices and methods.
  119. Milligan, Donald J; Weber, Timothy L., Fluid ejecting device with fluid feed slot.
  120. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; Leonard M. Carreira, Fluid ejection systems and methods with secondary dielectric fluid.
  121. Panchawagh, Hrishikesh V.; Grace, Jeremy M.; Brost, Randolph C., Fluid flow in microfluidic devices.
  122. Matzke, Carolyn M.; Ashby, Carol I. H.; Griego, Leonardo, Formation of interconnections to microfluidic devices.
  123. Matzke Carolyn M. ; Ashby Carol I. H. ; Bridges Monica M. ; Manginell Ronald P., Formation of microchannels from low-temperature plasma-deposited silicon oxynitride.
  124. Peck, Bill James; Indermuhle, Pierre; Marsh, Eugene P.; Fernandez, Andres; Stern, David, Functionalized surfaces and preparation thereof.
  125. Kang, Michael; Paterson, Alex; Kenworthy, Ian J., Gas distribution showerhead for inductively coupled plasma etch reactor.
  126. Kang, Michael; Paterson, Alex; Kenworthy, Ian J., Gas distribution showerhead for inductively coupled plasma etch reactor.
  127. Kang, Michael; Paterson, Alex; Kenworthy, Ian J., Gas distribution showerhead for inductively coupled plasma etch reactor.
  128. Kang, Michael; Paterson, Alex, Gas distribution system for ceramic showerhead of plasma etch reactor.
  129. Mazzillo, Massimo Cataldo; Sanfilippo, Delfo Nunziato, Geiger-mode avalanche photodiode with high signal-to-noise ratio, and corresponding manufacturing process.
  130. Mazzillo, Massimo Cataldo; Sanfilippo, Delfo Nunziato, Geiger-mode avalanche photodiode with high signal-to-noise ratio, and corresponding manufacturing process.
  131. Sanfilippo, Delfo Nunziato; Mazzillo, Massimo Cataldo; Fallica, Piero Giorgio, Geiger-mode photodiode with integrated and JFET-effect-adjustable quenching resistor, photodiode array, and corresponding manufacturing method.
  132. Sanfilippo, Delfo Nunziato; Mazillo, Massimo Cataldo, Geiger-mode photodiode with integrated and adjustable quenching resistor and surrounding biasing conductor.
  133. van der Weide,Daniel W.; Wang,Yaqiang, High aspect ratio micromechanical probe tips and methods of fabrication.
  134. Cao, Qing; Cheng, Kangguo; Li, Zhengwen; Liu, Fei; Zhang, Zhen, High density nano-array for sensing.
  135. Hasenbein, Robert A.; Hoisington, Paul A.; Gardner, Deane A.; Barss, Steven H., High frequency droplet ejection device and method.
  136. Ferreira, Placid M.; Dong, Jingyan; Mukhopadhyay, Deepkishore, High precision silicon-on-insulator MEMS parallel kinematic stages.
  137. Andry, Paul S.; Cotte, John M.; Lofaro, Michael F.; Sprogis, Edmund J.; Tornello, James A.; Tsang, Cornelia K., High-yield method of exposing and contacting through-silicon vias.
  138. Rogers, John A.; Kim, Dae-Hyeong; Omenetto, Fiorenzo; Kaplan, David L.; Litt, Brian; Viventi, Jonathan; Huang, Yonggang; Amsden, Jason, Implantable biomedical devices on bioresorbable substrates.
  139. Rogers, John A.; Kim, Dae-Hyeong; Omenetto, Fiorenzo; Kaplan, David L.; Litt, Brian; Viventi, Jonathan; Huang, Yonggang; Amsden, Jason, Implantable biomedical devices on bioresorbable substrates.
  140. Kang,Sean S.; Lee,Sangheon; Chen,Wan Lin; Hudson,Eric A.; Sadjadi,Reza, In-situ plug fill.
  141. Kumagai, Munehito; Yoshida, Yukihisa; Tsutsumi, Kazuhiko, Inertia force sensor.
  142. Aksyuk, Vladimir Anatolyevich; Bolle, Cristian A.; Jones, Christopher D. W.; Pardo, Flavio; Ryf, Roland; Simon, Maria Elina, Infrared imaging apparatus.
  143. Hall, Eric Spencer; Leis, Shauna Marie; McNees, Andrew Lee; Mrvos, James Michael; Powers, James Harold; Sullivan, Carl Edmond, Ink jet printheads and methods therefor.
  144. James Harold Powers ; Carl Edmond Sullivan, Ink jet printheads and methods therefor.
  145. Hoisington, Paul A.; Gardner, Deane A., Ink jet printing.
  146. Hoisington, Paul A.; Gardner, Deane A., Ink jet printing.
  147. Aso, Tsuyoshi; Rusu, Camelia, Inorganic rapid alternating process for silicon etch.
  148. Lehmann, Volker; Stengl, Reinhard; Schaefer, Herbert, Integrated coolant circuit arrangement, operating method and production method.
  149. Wong, Harianto; Taylor, William P.; Vig, Ravi, Integrated hall effect element having a germanium hall plate.
  150. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  151. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  152. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  153. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  154. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  155. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  156. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  157. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  158. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  159. Sabry, Yasser M.; Bourouina, Tarik E.; Saadany, Bassam A.; Khalil, Diaa A. M., Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication.
  160. Xie,Huikai, Integrated monolithic tri-axial micromachined accelerometer.
  161. Pau,Stanley; Slusher,Richart Elliott, Integrated planar ion traps.
  162. Kanarik, Keren Jacobs; Marks, Jeffrey; Singh, Harmeet; Tan, Samantha; Kabansky, Alexander; Yang, Wenbing; Kim, Taeseung; Hausmann, Dennis M.; Lill, Thorsten, Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch).
  163. Kanarik, Keren Jacobs; Marks, Jeffrey; Singh, Harmeet; Tan, Samantha; Kabansky, Alexander; Yang, Wenbing; Kim, Taeseung; Hausmann, Dennis M.; Lill, Thorsten, Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch).
  164. Kanarik, Keren Jacobs; Marks, Jeffrey; Singh, Harmeet; Tan, Samantha; Kabansky, Alexander; Yang, Wenbing; Kim, Taeseung; Hausmann, Dennis M.; Lill, Thorsten, Integrating atomic scale processes: ALD (atomic layer deposition) and ale (atomic layer etch).
  165. Palanduz,Cengiz A.; Holmberg,Nicholas; Zhong,Dong, Interposer with signal and power supply through vias.
  166. Pollard, Jeffrey R., Laser micromachining and methods of same.
  167. Pollard, Jeffrey R., Laser micromachining systems.
  168. Hipwell, Jr., Roger L.; Walter, Lee; Bonin, Wayne A.; Wissman, Barry D.; Boutaghou, Zine-Eddine; Ihlow-Mahrer, Barbara J., Laterally supported handle wafer for through-wafer reactive-ion etch micromachining.
  169. Hirschler, Joachim; Stranzl, Gudrun, Layer arrangement.
  170. Freeman, Dominique M.; Alden, Don, Low pain penetrating member.
  171. Freeman, Dominique M.; Alden, Don; Wittig, Michael; Boecker, Dirk, Low pain penetrating member.
  172. Borwick, Robert L.; Stupar, Philip A.; DeNatale, Jeffrey F.; Tsai, Chailun; Yao, Zhimin J.; Garrett, Kathleen; White, John; Warren, Les; Tench, Morgan, Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method.
  173. Greywall,Dennis S., MEMS actuator for piston and tilt motion.
  174. Sawyer, William D., MEMS device and interposer and method for integrating MEMS device and interposer.
  175. Sawyer, William D., MEMS device and interposer and method for integrating MEMS device and interposer.
  176. Greywall,Dennis S., MEMS device for an adaptive optics mirror.
  177. Greywall,Dennis S.; Marom,Dan Mark, MEMS-based alignment of optical components.
  178. Greywall,Dennis S., MEMS-based inertial switch.
  179. Greywall, Dennis S., MEMS-based spectrophotometric system.
  180. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley, Jr. ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; D. Russell Humphreys ; Jeffry J. Sniegowski, Magnetic drive systems and methods for a micromachined fluid ejector.
  181. Borel, Stephan, Making interconnections by curving conducting elements under a microelectronic device such as a chip.
  182. Matsuo,Yoshihide, Manufacturing method for semiconductor device, semiconductor device, and electronic apparatus.
  183. Matsuo,Yoshihide, Manufacturing method for semiconductor device, semiconductor device, and electronic apparatus.
  184. Yamamoto,Satoshi; Takizawa,Takashi; Suemasu,Tatsuo; Katashiro,Masahiro; Miyajima,Hiroshi; Matsumoto,Kazuya; Isokawa,Toshihiko, Manufacturing method of a semiconductor substrate provided with a through hole electrode.
  185. Pai, Chien-Shing; Pau, Stanley; Taylor, Joseph Ashley, Mass spectrometers on wafer-substrates.
  186. Gulvin,Peter M.; Eklund,Elliott A.; Kubby,Joel A., Membrane structures for micro-devices, micro-devices including same and methods for making same.
  187. Freeman, Dominique; Schulte, Thomas; Cane, Michael; Alden, Don; Schumann, Matt, Method and apparatus for a fluid sampling device.
  188. Freeman, Dominique; Schulte, Tom; Caine, Michael Roger; Alden, Don; Schumann, Matt, Method and apparatus for a fluid sampling device.
  189. Freeman, Dominique; Boecker, Dirk, Method and apparatus for a multi-use body fluid sampling device with analyte sensing.
  190. Freeman, Dominique; Alden, Don; Boecker, Dirk; Cane, Michael; Schumann, Matt; Beadman, Michael, Method and apparatus for a multi-use body fluid sampling device with sterility barrier release.
  191. Freeman, Dominique; Alden, Don; Boecker, Dirk; Cane, Mike; Schumann, Matt; Beadman, Mike, Method and apparatus for a multi-use body fluid sampling device with sterility barrier release.
  192. Freeman, Dominique; Alden, Don; Boecker, Dirk; Cane, Mike; Schumann, Matt; Beadmann, Mike, Method and apparatus for a multi-use body fluid sampling device with sterility barrier release.
  193. Boecker, Dirk, Method and apparatus for a variable user interface.
  194. Marsot, Travis; Lum, Paul; Alden, Don; Ross, James; Bardell, Ron L.; Weigl, Bernhard, Method and apparatus for an improved sample capture device.
  195. Marsot, Travis; Lum, Paul; Alden, Don; Ross, James; Bardell, Ron L.; Weigl, Bernhard Hans, Method and apparatus for an improved sample capture device.
  196. Freeman, Dominique; Boecker, Dirk; Alden, Don, Method and apparatus for analyte detecting device.
  197. Boecker, Dirk, Method and apparatus for analyte measurement test time.
  198. Bhardwaj,Jyoti Kiron, Method and apparatus for anisotropic etching.
  199. Kobrin, Boris, Method and apparatus for anisotropic etching.
  200. Boecker, Dirk; Freeman, Dominique M.; Alden, Don, Method and apparatus for body fluid sampling and analyte sensing.
  201. Briggs, Barry Dean; Marsot, Travis; Hegener, Jason; Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Schumann, Matt; Beadman, Mike, Method and apparatus for body fluid sampling and analyte sensing.
  202. Freeman, Dominique; Alden, Don, Method and apparatus for body fluid sampling and analyte sensing.
  203. Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Schumann, Matt; Beadman, Mike, Method and apparatus for body fluid sampling with hybrid actuation.
  204. Luke Zhang ; Ruiping Wang ; Ida Ariani Adisaputro ; Kwang-Soo Kim, Method and apparatus for etch passivating and etching a substrate.
  205. Hopkins Janet,GBX ; Johnston Ian Ronald,GBX ; Bhardwaj Jyoti Kiron,GBX ; Ashraf Huma,GBX ; Hynes Alan Michael,GBX ; Lea Leslie Michael,GBX, Method and apparatus for etching a substrate.
  206. Singh, Saravjeet; Nangoy, Roy C., Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery.
  207. Freeman, Dominique; Boecker, Dirk; Alden, Don, Method and apparatus for fluid injection.
  208. Lum, Paul, Method and apparatus for improving fluidic flow and sample capture.
  209. Lum, Paul; Mauze, Ganapati; Poplonski, Tomasz; Shatsky, Rebecca, Method and apparatus for improving fluidic flow and sample capture.
  210. Alden, Don; Freeman, Dominique M.; Lum, Paul, Method and apparatus for lancet launching device integrated onto a blood-sampling cartridge.
  211. Alden, Don; Freeman, Dominique M.; Lum, Paul, Method and apparatus for lancet launching device integrated onto a blood-sampling cartridge.
  212. Freeman, Dominique; Alden, Don; Boecker, Dirk; Cane, Mike; Schumann, Matt; Beadman, Mike, Method and apparatus for multi-use body fluid sampling device with sterility barrier release.
  213. Boecker, Dirk; Alden, Don; Freeman, Dominique M., Method and apparatus for penetrating tissue.
  214. Boecker, Dirk; Alden, Don; Freeman, Dominique M., Method and apparatus for penetrating tissue.
  215. Boecker, Dirk; Alden, Don; Freeman, Dominique M., Method and apparatus for penetrating tissue.
  216. Boecker, Dirk; Alden, Don; Freeman, Dominique M., Method and apparatus for penetrating tissue.
  217. Freeman, Dominique, Method and apparatus for penetrating tissue.
  218. Freeman, Dominique M., Method and apparatus for penetrating tissue.
  219. Freeman, Dominique M., Method and apparatus for penetrating tissue.
  220. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  221. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  222. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  223. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  224. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  225. Freeman, Dominique M.; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  226. Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Hegener, Jason, Method and apparatus for penetrating tissue.
  227. Freeman, Dominique; Alden, Don, Method and apparatus for penetrating tissue.
  228. Freeman, Dominique; Alden, Don, Method and apparatus for penetrating tissue.
  229. Freeman, Dominique; Alden, Don, Method and apparatus for penetrating tissue.
  230. Freeman, Dominique; Alden, Don, Method and apparatus for penetrating tissue.
  231. Freeman, Dominique; Alden, Don, Method and apparatus for penetrating tissue.
  232. Freeman, Dominique; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  233. Freeman, Dominique; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  234. Freeman, Dominique; Boecker, Dirk; Alden, Don, Method and apparatus for penetrating tissue.
  235. Wendt Amy Eileen ; Wang Shiang-Bau, Method and apparatus for plasma processing with control of ion energy distribution at the substrates.
  236. Johnson,David; Lai,Shouliang; Westerman,Russell, Method and apparatus for process control in time division multiplexed (TDM) etch process.
  237. Johnson,David; Westerman,Russell; Teixeira,Mike; Lai,Shouliang, Method and apparatus for process control in time division multiplexed (TDM) etch processes.
  238. Bhardwaj,Jyoti Kiron; Lea,Leslie Michael; Guibarra,Edward, Method and apparatus for stabilizing a plasma.
  239. Freeman, Dominique M.; Boccker, Dirk; Jones, Robert; Cullen, David; MacLood, Malcolm; Carlson, William; Owen, Michael J.; Dryer, Christopher, Method and apparatus using optical techniques to measure analyte levels.
  240. Freeman, Dominique M.; Boecker, Dirk; Jones, Robert; Cullen, David; MacLeod, Malcolm; Carlsen, William; Owen, Michael J.; Dryer, Christopher, Method and apparatus using optical techniques to measure analyte levels.
  241. Kautzsch, Thoralf; Froehlich, Heiko; Vogt, Mirko; Stegemann, Maik, Method and structure for creating cavities with extreme aspect ratios.
  242. Kautzsch, Thoralf; Fröhlich, Heiko; Vogt, Mirko; Stegemann, Maik, Method and structure for creating cavities with extreme aspect ratios.
  243. Laermer Franz,DEX ; Schilp Andrea,DEX, Method for anisotropic etching of silicon.
  244. Laermer, Franz; Schilp, Andrea, Method for anisotropic plasma etching of semiconductors.
  245. Auth, Nicole; Spies, Petra; Becker, Rainer; Hofmann, Thorsten; Edinger, Klaus, Method for electron beam induced etching.
  246. Auth, Nicole; Spies, Petra; Becker, Rainer; Hofmann, Thorsten; Edinger, Klaus, Method for electron beam induced etching of layers contaminated with gallium.
  247. Gormley, Colin Stephen, Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate.
  248. Gormley, Colin Stephen, Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate.
  249. Manfred Engelhardt DE, Method for fabricating trenches having hallows along the trenches side wall for storage capacitors of DRAM semiconductor memories.
  250. Huang,Zhisong; Li,Lumin; Sadjadi,Reza, Method for forming a dual damascene structure.
  251. Roesler,Alexander W.; Christenson,Todd R., Method for forming permanent magnets with different polarities for use in microelectromechanical devices.
  252. Sawyer,William D., Method for integrating MEMS device and interposer.
  253. Stewart Robert E. ; Goldman Arnold E., Method for making micro-mechanical semiconductor accelerometer.
  254. Ohara Junji,JPX ; Yoshihara Shinji,JPX ; Kano Kazuhiko,JPX ; Ohya Nobuyuki,JPX, Method for manufacturing semiconductor device.
  255. Uda, Shuichiro; Maruyama, Koji; Hirayama, Yusuke, Method for manufacturing semiconductor device.
  256. Sawyer, William D.; Borenstein, Jeffrey T., Method for microfabricating structures using silicon-on-insulator material.
  257. Sawyer,William D.; Borenstein,Jeffrey T., Method for microfabricating structures using silicon-on-insulator material.
  258. Freeman, Dominique M.; Alden, Don, Method for penetrating tissue.
  259. Freeman, Dominique; Alden, Don, Method for penetrating tissue.
  260. Merry,Walter R.; Mak,Cecilia Y.; Law,Kam S., Method for plasma etching a dielectric layer.
  261. Lai, Shouliang; Mackenzie, Ken; Johnson, David, Method for plasma etching of positively sloped structures.
  262. Huang, Zhisong; Li, Lumin, Method for plasma etching performance enhancement.
  263. Ji, Bing; Edelberg, Erik A.; Yanagawa, Takumi; Huang, Zhisong; Li, Lumin, Method for plasma etching performance enhancement.
  264. Hudson, Eric A.; Tietz, James V., Method for plasma etching using periodic modulation of gas chemistry.
  265. Yun,Seokmin; Zhu,Ji; Cirigliano,Peter; Lee,Sangheon; Choi,Thomas S.; Loewenhardt,Peter; Wilcoxson,Mark H.; Sadjadi,Reza; Hudson,Eric A.; Tietz,James V., Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition.
  266. David,Ludwig; Yamaguchi,James; Clark,Stuart; Boyd,W. Eric, Method for precision integrated circuit die singulation using differential etch rates.
  267. Ludwig, David; Yamaguchi, James; Clark, Stewart; Boyd, W. Eric, Method for precision integrated circuit die singulation using differential etch rates.
  268. Menath, Markus, Method for processing a wafer and method for dicing a wafer.
  269. Baisl, Richard, Method for producing an optoelectronic component, and optoelectronic component.
  270. Harris, James M.; Patel, Sapna, Method for producing and testing a corrosion-resistant channel in a silicon device.
  271. Bartha Johann (Aidlingen DEX) Greschner Johann (Pliezhausen DEX) Junginger Robert (Boblingen DEX) Kraus Georg (Wildberg DEX), Method for producing deep vertical structures in silicon substrates.
  272. Laermer, Franz; Fuchs, Tino; Leinenbach, Christina, Method for producing micromechanical patterns having a relief-like sidewall outline shape or an adjustable angle of inclination.
  273. Xu, Qing; Rusu, Camelia; Winniczek, Jaroslaw W.; Lin, Frank Y.; Miller, Alan J., Method for providing high etch rate.
  274. Mevellec, Vincent, Method for repairing copper diffusion barrier layers on a semiconductor solid substrate and repair kit for implementing this method.
  275. Greywall,Dennis S., Method for supplying multiple voltages to a movable part of a MEMS device.
  276. Tamarak Pandhumsoporn ; Kevin Yu ; Michael Feldbaum ; Michel Puech FR, Method of anisotropic etching of substrates.
  277. Kutsch, Bernd; Laermer, Franz, Method of avoiding or eliminating deposits in the exhaust area of a vacuum system.
  278. Lindsey, Jr., Paul C., Method of dividing a semiconductor wafer having semiconductor and metal layers into separate devices.
  279. Rattner, Michael; Chinn, Jeffrey D., Method of etching a deep trench having a tapered profile in silicon.
  280. Zhao, Yang; Hua, Yaping, Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same.
  281. Ogata, Yoshinao; Kato, Masataka; Uyama, Masaya, Method of etching a silicon substrate.
  282. Nallan, Padmapani C.; Kumar, Ajay; Khan, Anisul H.; Yang, Chan-Syun David, Method of etching a trench in a silicon-on-insulator (SOI) structure.
  283. Chinn, Jeffrey D.; Rattner, Michael B.; Cooper, James A.; Guenther, Rolf A., Method of etching variable depth features in a crystalline substrate.
  284. Chiu, Chen-Wei; Tsao, Tom; Jiang, Fukang, Method of fabricating micromachined devices.
  285. Gary K. Fedder ; Xu Zhu, Method of fabricating micromachined structures and devices formed therefrom.
  286. Xie,Huikai; Fedder,Gary K.; Pan,Zhiyu; Frey,Wilhelm, Method of fabricating microstructures and devices made therefrom.
  287. Ikegami, Naokatsu, Method of fabricating semiconductor device including forming a protective layer and removing after etching a trench.
  288. Chong, John M.; Waldrop, Paul; Davis, Tim; Adams, Scott, Method of fabricating semiconductor wafers having multiple height subsurface layers.
  289. DeNatale, Jeffrey F.; Stupar, Philip A.; Papavasiliou, Alexandros P.; Borwick, III, Robert L., Method of fabricating vertical capacitors in through-substrate vias.
  290. Celler, George K., Method of forming a device wafer with recyclable support.
  291. Chen, Chien-Hua; Kramer, Kenneth Michael, Method of forming substrate for fluid ejection device.
  292. Mulloy, Michael; Scott, Graeme, Method of forming substrate for fluid ejection device.
  293. Okandan, Murat; Nielson, Gregory N., Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate.
  294. Cahill,David; Pollard,Jeffrey R.; O'Reilly,Declan John; Scott,Graeme; McLoughlin,Noel, Method of laser machining a fluid slot.
  295. Beaudry,Richard, Method of making a MEMS device containing a cavity with isotropic etch followed by anisotropic etch.
  296. Milligan, Donald J; Weber, Timothy L., Method of making an ink jet printhead having a narrow ink channel.
  297. Theiss, Steven D.; Baude, Paul F.; Haase, Michael A.; Theiss, Silva K., Method of making transistors.
  298. Theiss, Steven D.; Haase, Michael A.; Theiss, Silva K., Method of making transistors.
  299. Alden, Don; Marsot, Travis, Method of manufacturing a fluid sampling device with improved analyte detecting member configuration.
  300. Matsuo,Yoshihide; Arakawa,Katsuji, Method of manufacturing a nozzle plate.
  301. Dehe, Alfons; Barzen, Stefan; Friza, Wolfgang; Klein, Wolfgang, Method of manufacturing a semiconductor device comprising a membrane over a substrate by forming a plurality of features using local oxidation regions.
  302. Hagihara, Junichirou; Higashi, Shuichi; Kambara, Shozo, Method of manufacturing a sputtering target and sputtering target.
  303. Nishimura,Kunihiko; Yasuda,Naoki; Suzuki,Yosuke; Hirokado,Yoshinobu; Kawamoto,Satoru, Method of manufacturing electron emission source.
  304. Kato, Masataka; Kishimoto, Keisuke, Method of manufacturing liquid discharge head substrate and method of processing the substrate.
  305. Chinn,Jeffrey D.; Rattner,Michael; Pornsin Sirirak,Nicholas; Li,Yanping, Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system.
  306. Appleyard, Nicholas John; Powell, Kevin, Method of processing substrates.
  307. Franz Laermer DE; Wilhelm Frey DE, Method of producing a radiation sensor.
  308. Koppitsch, Guenther; Loeffler, Bernhard, Method of producing an opening with smooth vertical sidewall in a semiconductor substrate.
  309. Lindsey, Jr., Paul C., Method of removing back metal from an etched semiconductor scribe street.
  310. Kuhlman,Kimberly; Wishard,James R., Method of sample preparation for atom probes and source of specimens.
  311. Rattner, Michael; Chinn, Jeffrey D., Method of smoothing a trench sidewall after a deep trench silicon etch process.
  312. Bhardwaj Jyoti Kiron,GBX ; Ashraf Huma,GBX ; Khamsehpour Babak,GBX ; Hopkins Janet,GBX ; Hynes Alan Michael,GBX ; Ryan Martin Edward,GBX ; Haynes David Mark,GBX, Method of surface treatment of semiconductor substrates.
  313. Bhardwaj Jyoti Kiron,GBX ; Ashraf Huma,GBX ; Khamsehpour Babak,GBX ; Hopkins Janet,GBX ; Hynes Alan Michael,GBX ; Ryan Martin Edward,GBX ; Haynes David Mark,GBX, Method of surface treatment of semiconductor substrates.
  314. Plumhoff, Jason; Srinivasan, Sunil; Johnson, David; Westerman, Russell, Method to minimize CD etch bias.
  315. Lindsey, Jr., Paul C.; Foote, Darrell, Method using fluid pressure to remove back metal from semiconductor wafer scribe streets.
  316. McNie, Mark Edward; Cooke, Michael Joseph; Lea, Leslie Michael, Methods and apparatus for depositing and/or etching material on a substrate.
  317. Briggs, Barry Dean; Freeman, Dominique; Alden, Don; Boecker, Dirk, Methods and apparatus for lancet actuation.
  318. Briggs, Barry; Freeman, Dominique; Alden, Don; Boecker, Dirk, Methods and apparatus for lancet actuation.
  319. Briggs, Barry; Freeman, Dominique; Alden, Don; Boecker, Dirk, Methods and apparatus for lancet actuation.
  320. Briggs, Barry; Freeman, Dominique; Boecker, Dirk; Alden, Don, Methods and apparatus for lancet actuation.
  321. Briggs, Barry; Freeman, Dominique; Boecker, Dirk; Alden, Don, Methods and apparatus for lancet actuation.
  322. Castle, Mark; Kugizaki, Rodney; Englert, Robert; Shoup, Thomas; Freeman, Dominique M., Methods and apparatus for lancet actuation.
  323. Castle, Mark; Kugizaki, Rodney; Englert, Robert; Shoup, Thomas; Perry, Jeff, Methods and apparatus for lancet actuation.
  324. Boecker, Dirk; Alden, Don; Freeman, Dominique M., Methods and apparatus for penetrating tissue.
  325. Kiermasz,Adrian; Pandhumsoporn,Tamarak; Cofer,Alferd, Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate.
  326. de Graff, Bassel; Arora, William J.; Callsen, Gilman; Ghaffari, Roozbeh, Methods and applications of non-planar imaging arrays.
  327. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  328. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  329. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  330. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  331. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  332. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  333. Ramiah, Chandrasekaram; Mitchell, Douglas G.; Petras, Michael F.; Sanders, Paul W., Methods for forming through-substrate conductor filled vias, and electronic assemblies formed using such methods.
  334. Hess, Reinhard; Umminger, Katharina; Maier, Gabriel; Menath, Markus; Mackh, Gunther; Eder, Hannes; Heinrich, Alexander, Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements.
  335. Behrendt, Andreas; Schreiber, Kai-Alexander; Sgouridis, Sokratis; Zgaga, Martin; Winkler, Bernhard, Methods for producing a cavity within a semiconductor substrate.
  336. Behrendt, Andreas; Schreiber, Kai-Alexander; Sgouridis, Sokratis; Zgaga, Martin; Winkler, Bernhard, Methods for producing a cavity within a semiconductor substrate.
  337. Sanders, Paul W.; Jones, Robert E.; Petras, Michael F., Methods of forming 3-D circuits with integrated passive devices.
  338. Sanders, Paul W.; Jones, Robert E.; Petras, Michael F.; Ramiah, Chandrasekaram, Methods of forming 3-D circuits with integrated passive devices.
  339. Sanders, Paul W.; Jones, Robert E.; Petras, Michael F.; Ramiah, Chandrasekaram, Methods of forming 3-D circuits with integrated passive devices.
  340. Polosky,Marc A.; Lukens,Laurance L., Micro environmental sensing device.
  341. Bowers, John Edward; Helkey, Roger Jonathan; Corbalis, Charles; Sink, Robert Kehl; Lee, Seung Bok; MacDonald, Noel, Micro-electro-mechanical-system (MEMS) mirror device and methods for fabricating the same.
  342. Lee, Seung Bok; MacDonald, Noel, Micro-electro-mechanical-system (MEMS) mirror device having large angle out of plane motion using shaped combed finger actuators and method for fabricating the same.
  343. Syms,Richard, Micro-engineered electron multipliers.
  344. Miller, Michael; Masters, Brett P., Micro-fabricated devices having a suspended membrane or plate structure.
  345. Okandan,Murat; Galambos,Paul C.; Benavides,Gilbert L.; Hetherington,Dale L., Micro-fluidic interconnect.
  346. Stewart Robert E. ; Goldman Arnold E., Micro-mechanical semiconductor accelerometer.
  347. Yoshida, Yukihisa; Chabloz, Martial; Jiao, Jiwei; Matsuura, Tsukasa; Tsutsumi, Kazuhiko, Microdevice and its production method.
  348. Lee,Robb M.; Shul,Randy J.; Polosky,Marc A.; Hoke,Darren A.; Vernon,George E., Microelectromechanical acceleration-sensing apparatus.
  349. Miller, Samuel Lee; McWhorter, Paul Jackson; Rodgers, Murray Steven; Sniegowski, Jeffry J.; Barnes, Stephen M., Microelectromechanical apparatus for elevating and tilting a platform.
  350. Miller, Samuel Lee; McWhorter, Paul Jackson; Rodgers, Murray Steven; Sniegowski, Jeffry J.; Barnes, Stephen M., Microelectromechanical apparatus for elevating and tilting a platform.
  351. Okandan, Murat, Microelectromechanical flow control apparatus.
  352. Bolle,Christian A.; Simon,Maria E., Microelectromechanical magnetometer.
  353. Roesler,Alexander W.; Christenson,Todd R., Microelectromechanical power generator and vibration sensor.
  354. Lantz, Jeffrey W.; Stalford, Harold L., Microelectromechanical pump utilizing porous silicon.
  355. Koehler,David R.; Hoke,Darren A.; Weichman,Louis S.; Vernon,George E.; Shul,Randy J.; Beggans,Michael H., Microelectromechanical safing and arming apparatus.
  356. Koehler,David R.; Hoke,Darren A.; Weichman,Louis S.; Vernon,George E.; Shul,Randy J.; Beggans,Michael H., Microelectromechanical safing and arming apparatus.
  357. Miller, Michael F.; Bakshi, Shivalik, Microfabricated devices and method for fabricating microfabricated devices.
  358. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Microfabricated electrospray device.
  359. Schwindt, Peter; Biedermann, Grant; Blain, Matthew G.; Stick, Daniel L.; Serkland, Darwin K.; Olsson, III, Roy H., Microfabricated ion frequency standard.
  360. Okandan,Murat; Wessendorf,Kurt O., Micromachined electrode array.
  361. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Micromachined fluid ejector systems and methods.
  362. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Micromachined fluid ejector systems and methods having improved response characteristics.
  363. Martin, David; Philliber, Joel; Choy, John, Micromachined horn.
  364. Martin, David; Philliber, Joel; Choy, John, Micromachined horn.
  365. Okandan, Murat, Micromachined patch-clamp apparatus.
  366. William F. Filter ; John P. Hohimer, Micromechanical die attachment surcharge.
  367. van der Weide, Daniel Warren; Wang, Yaqiang, Microscope probe having an ultra-tall tip.
  368. Nielson, Gregory N.; Sweatt, William C.; Okandan, Murat, Microsystem enabled photovoltaic modules and systems.
  369. Nielson, Gregory N.; Sweatt, William C.; Okandan, Murat, Microsystem enabled photovoltaic modules and systems.
  370. Alan H. Epstein ; Stephen D. Senturia ; Ian A. Waitz ; Jeffrey H. Lang ; Stuart A. Jacobson ; Fredric F. Ehrich ; Martin A. Schmidt ; G. K. Ananthasuresh ; Mark S. Spearing ; Kenneth S. Breu, Microturbomachinery.
  371. Epstein Alan H. ; Senturia Stephen D. ; Waitz Ian A. ; Lang Jeffrey H. ; Jacobson Stuart A. ; Ehrich Fredric F. ; Schmidt Martin A. ; Ananthasuresh G. K. ; Spearing Mark S. ; Breuer Kenneth S. ; Nagl, Microturbomachinery.
  372. Lindsey, Jr., Paul C.; Foote, Darrell W., Modified plasma dicing process to improve back metal cleaving.
  373. Greywall, Dennis S., Monolithic MEMS device for optical switches.
  374. Greywall,Dennis S.; Marom,Dan Mark, Monolithic MEMS device having a balanced cantilever plate.
  375. Greywall, Dennis S., Monolithic in-plane shutter switch.
  376. Anagnostopoulos, Constantine N., Monolithic printhead with multiple rows of inkjet orifices.
  377. Greywall, Dennis S., Monolithic two-axis MEMS device for optical switches.
  378. Michael J. Teixeira ; Mike Devre ; Wade Dawson ; Dave Johnson, Morphed processing of semiconductor devices.
  379. Jian Benjamin Bin, Multilayer optical fiber coupler.
  380. Jian, Benjamin B., Multilayer optical fiber coupler.
  381. Jian,Benjamin, Multilayer optical fiber coupler.
  382. Descour,Michael; Dupuis,Russell; Anslyn,Eric; Richards Kortum,Rebecca, Multimodal miniature microscope.
  383. Schultz, Gary A.; Corso, Thomas N.; Prosser, Simon J., Multiple electrospray device, systems and methods.
  384. Schultz, Gary A.; Corso, Thomas N.; Prosser, Simon J., Multiple electrospray device, systems and methods.
  385. Hofmann, Wolfgang M. J.; Neves, Hercules; MacDonald, Noel C.; Adams, Scott G., Multiple-level actuators and clamping devices.
  386. Westerman, Russell; Johnson, David; Lai, Shouliang, Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma.
  387. Syms,Richard Rodney Anthony, Optical component.
  388. Rogers, John A., Optical component array having adjustable curvature.
  389. Rogers, John; Nuzzo, Ralph; Meitl, Matthew; Menard, Etienne; Baca, Alfred J.; Motala, Michael; Ahn, Jong-Hyun; Park, Sang-II; Yu, Chang-Jae; Ko, Heung-Cho; Stoykovich, Mark; Yoon, Jongseung, Optical systems fabricated by printing-based assembly.
  390. Rogers, John; Nuzzo, Ralph; Meitl, Matthew; Menard, Etienne; Baca, Alfred J.; Motala, Michael; Ahn, Jong-Hyun; Park, Sang-Il; Yu, Chang-Jae; Ko, Heung Cho; Stoykovich, Mark; Yoon, Jongseung, Optical systems fabricated by printing-based assembly.
  391. Rogers, John; Nuzzo, Ralph; Meitl, Matthew; Menard, Etienne; Baca, Alfred; Motala, Michael; Ahn, Jong-Hyun; Park, Sang-Il; Yu, Chang-Jae; Ko, Heung Cho; Stoykovich, Mark; Yoon, Jongseung, Optical systems fabricated by printing-based assembly.
  392. Rogers, John; Nuzzo, Ralph; Meitl, Matthew; Menard, Etienne; Baca, Alfred; Motala, Michael; Ahn, Jong-Hyun; Park, Sang-Il; Yu, Chang-Jae; Ko, Heung Cho; Stoykovich, Mark; Yoon, Jongseung, Optical systems fabricated by printing-based assembly.
  393. Aksyuk, Vladimir Anatolyevich; Simon, Maria Elina; Slusher, Richart Elliott, Photo-sensitive MEMS structure.
  394. Aksyuk,Vladimir Anatolyevich; Simon,Maria Elina; Slusher,Richart Elliott, Photo-sensitive MEMS structure.
  395. Guckel Henry ; McNamara Shamus P., Photodiode arrays having minimized cross-talk between diodes.
  396. Chen,Chien Hua; Ramamoorthi,Sriram; Milligan,Donald J., Photonic crystal device and methods.
  397. Nielson, Gregory N.; Cruz-Campa, Jose Luis; Okandan, Murat; Resnick, Paul J., Photovoltaic solar cell.
  398. Nielson, Gregory N.; Gupta, Vipin P.; Okandan, Murat; Watts, Michael R., Photovoltaic solar cell.
  399. Nielson, Gregory N.; Okandan, Murat; Cruz-Campa, Jose Luis; Resnick, Paul J., Photovoltaic solar cell.
  400. Nielson, Gregory N.; Gupta, Vipin P.; Okandan, Murat; Watts, Michael R., Photovoltaic solar concentrator.
  401. Nielson, Gregory N.; Okandan, Murat; Resnick, Paul J.; Cruz-Campa, Jose Luis, Photovoltaic solar concentrator.
  402. Ganapati R. Mauze ; Paul Lum ; Dominique Freeman, Physiological fluid extraction with rapid analysis.
  403. Galambos, Paul C.; Benavides, Gilbert L.; Jokiel, Jr., Bernhard; Jakubczak II, Jerome F., Piston-driven fluid-ejection apparatus.
  404. Engelhardt, Manfred, Plasma dicing and semiconductor devices formed thereof.
  405. Arturo A. Ayon, Plasma etch techniques for fabricating silicon structures from a substrate.
  406. Honda, Masanobu; Nakayama, Hiroyuki; Sato, Manabu, Plasma etching method and computer readable storage medium.
  407. Bhardwaj,Jyoti Kiron; Lea,Leslie Michael, Plasma processing apparatus.
  408. Imai, Shinichi, Plasma processing method.
  409. Greywall,Dennis S., Pneumatic infrared detector.
  410. Abatchev, Mirzafer; Rusu, Camelia; McMillin, Brian, Pressure control valve assembly of plasma processing chamber and rapid alternating process.
  411. Adams, Scott G.; Blackmer, Charles W.; Lynch, Kristin J., Pressure sensor including deformable pressure vessel(s).
  412. Bartetzko, Norbert, Printable hydrogel for biosensors.
  413. Bartetzko, Norbert; Specht, Bernfrield; Kunz, Michael, Printable hydrogels for biosensors.
  414. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn, Printable semiconductor structures and related methods of making and assembling.
  415. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn, Printable semiconductor structures and related methods of making and assembling.
  416. Rogers, John A.; Nuzzo, Ralph; Kim, Hoon-sik; Brueckner, Eric; Park, Sang Il; Kim, Rak Hwan, Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays.
  417. Rogers, John A.; Nuzzo, Ralph; Kim, Hoon-sik; Brueckner, Eric; Park, Sang Il; Kim, Rak Hwan, Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays.
  418. Bibl,Andreas; Higginson,John A.; Hoisington,Paul A.; Gardner,Deane A.; Hasenbein,Robert A.; Biggs,Melvin L.; Moynihan,Edward R., Printhead having a thin pre-fired piezoelectric layer.
  419. Bibl,Andreas; Higginson,John A.; Hoisington,Paul A.; Gardner,Deane A.; Hasenbein,Robert A.; Biggs,Melvin L.; Moynihan,Edward R., Printhead having a thin pre-fired piezoelectric layer.
  420. Bibl, Andreas; Higginson, John A.; Hoisington, Paul A.; Gardner, Deane A.; Hasenbein, Robert A.; Biggs, Melvin L.; Moynihan, Edward R., Printhead having impedance features.
  421. Smith, Terry L.; Zhang, Jun-Ying, Process for anisotropic etching of semiconductors.
  422. Wang, Yiqiong; Khan, Anisul; Kumar, Ajay; Podlesnik, Dragan; Pamarthy, Sharma V., Process for etching conductors at high etch rates.
  423. Riva, Marcello, Process for the production of microelectromechanical systems.
  424. Grivna, Gordon M.; Thomason, Michael; Hunter, Stevan Gaurdello, Process of forming an electronic device including a material defining a void.
  425. Parsey, Jr., John Michael; Grivna, Gordon M., Processes of forming an electronic device including a feature in a trench.
  426. Ghaffari, Roozbeh; Schlatka, Benjamin; Callsen, Gilman; de Graff, Bassel, Protective cases with integrated electronics.
  427. Lee, Wonchul; Fu, Qian; Liu, Shenjian; Pu, Bryan, Pulsed bias plasma process to control microloading.
  428. Hall, Douglas C.; Howard, Scott; Hoffman, Anthony; Bernstein, Gary H.; Kulick, Jason M., Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment.
  429. Panagopoulos, Theo, Rapid and uniform gas switching for a plasma etch process.
  430. Panagopoulos, Theo, Rapid and uniform gas switching for a plasma etch process.
  431. Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol, Reducing leakage current in semiconductor devices.
  432. Kang, Sean S.; Lee, Sangheon; Chen, Wan Lin; Hudson, Eric A.; Sadjadi, S. M. Reza; Zhao, Gan Ming, Reduction of feature critical dimensions.
  433. Kang,Sean S.; Lee,Sangheon; Chen,Wan Lin; Hudson,Eric A.; Sadjadi,S. M. Reza; Zhao,Gan Ming, Reduction of feature critical dimensions.
  434. Trezza, John, Redundant optical device array.
  435. Rogers, John A.; Nuzzo, Ralph G.; Meitl, Matthew; Ko, Heung Cho; Yoon, Jongseung; Menard, Etienne; Baca, Alfred J., Release strategies for making transferable semiconductor structures, devices and device components.
  436. Rogers, John A.; Nuzzo, Ralph G.; Meitl, Matthew; Ko, Heung Cho; Yoon, Jongseung; Menard, Etienne; Baca, Alfred J., Release strategies for making transferable semiconductor structures, devices and device components.
  437. Aizenberg, Joanna; Krupenkin, Thomas Nikita; Sydorenko, Oleksandr; Taylor, Joseph Ashley, Reversible actuation in arrays of nanostructures.
  438. Greywall,Dennis S., Rocker-arm actuator for a segmented mirror.
  439. Freeman, Dominique M., Sampling module device and method.
  440. Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Hartmann, Hans-Joachim; Weber, Lutz, Sampling module device and method.
  441. Musha, Kazuhiro; Minami, Hirofumi; Yamada, Kiyotaka, Seal mechanism and treatment apparatus.
  442. Freeman, Dominique; Alden, Don, Self optimizing lancing device with adaptation means to temporal variations in cutaneous properties.
  443. Englhardt, Manfred, Semiconductor device.
  444. Kita, Takeshi; Higashi, Kazushi, Semiconductor element and semiconductor element fabrication method.
  445. Fujii, Tetsuo; Imai, Masahito, Semiconductor mechanical sensor.
  446. Fujii, Tetsuo; Imai, Masahito, Semiconductor mechanical sensor.
  447. Fujii,Tetsuo; Imai,Masahito, Semiconductor mechanical sensor.
  448. Joodaki, Mojtaba, Sensor, method for sensing, measuring device, method for measuring, filter component, method for adapting a transfer behavior of a filter component, actuator system and method for controlling an actuator using a sensor.
  449. Corso, Thomas N.; Schultz, Gary A.; Prosser, Simon J.; Huang, Xian, Separation media, multiple electrospray nozzle system and method.
  450. Chong, John; Lee, Seung Bok; MacDonald, Noel; Lewis, Robert; Hunt, Peter, Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same.
  451. Johnson, David; Westerman, Russell; Lai, Shouliang, Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method.
  452. Matzke, Carolyn M.; Rieger, Dennis J.; Ellis, Robert V., Silica substrate or portion formed from oxidation of monocrystalline silicon.
  453. Yoshida, Yukihisa; Kumagai, Munehito; Tsutsumi, Kazuhiko, Silicon device.
  454. Morales,Alfredo M., Silicon micro-mold.
  455. Chiu, Chen-Wei; Avakian, Aramais; Tsao, Tom; Jiang, Fukang; Dickson, Jeff; Tai, Yu-Chong, Silicon micromachined optical device.
  456. Kumagai,Munehito; Yoshida,Yukihisa; Matsuura,Tsukasa; Honma,Yukihiro, Silicon substrate apparatus and method of manufacturing the silicon substrate apparatus.
  457. Lebens, John A.; Anagnostopoulos, Constantine N., Silicon wafer configuration and method for forming same.
  458. Chinn, Jeffrey D.; Rattner, Michael; Pornsin Sirirak, Nicholas; Li, Yanping, Silicon-containing structure with deep etched features, and method of manufacture.
  459. Walavalkar, Sameer; Goldberg, Mark D.; Scherer, Axel, Silicon-on-insulator microchannels for biological sensors.
  460. Fang, Jiandong; Sullivan, Carl Edmond; Corley, Richard E., Singulating ejection chips for micro-fluid applications.
  461. Nielson, Gregory N.; Okandan, Murat; Cruz-Campa, Jose Luis; Resnick, Paul J.; Wanlass, Mark Woodbury; Clews, Peggy J., Solar cell with back side contacts.
  462. Okandan, Murat; Nielson, Gregory N., Solar tracking system.
  463. Greywall, Dennis S., Split spring providing multiple electrical leads for MEMS devices.
  464. Hudson,Eric; Sadjadi,S. M. Reza, Stabilized photoresist structure for etching process.
  465. Vestergaard,Ras Kaas; Willumsen,Niels; Oswald,Nicholas; Kutchinsky,Jonatan; Reuter,Dirk; Taboryski,Rafael, Substrate and method for measuring the electro-physiological properties of cell membranes.
  466. Chen,Chien Hua; Schulte,Donald W.; McMahon,Terry E., Substrate and method forming substrate for fluid ejection device.
  467. Chen,Chien Hua; Schulte,Donald W.; McMahon,Terry E, Substrate and method of forming substrate for fluid ejection device.
  468. Truninger, Martha A.; Leith, Steven David; Hess, Jeffery S., Substrate and method of forming substrate for fluid ejection device.
  469. Chen, Chien-Hua; Kramer, Kenneth Michael, Substrate for fluid ejection device.
  470. Milligan, Donald J; Koch, Tim R; Truninger, Martha A; Lai, Diane W; Emery, Timothy R; Smith, J. Daniel, Substrate with fluidic channel and method of manufacturing.
  471. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; Randy J. Shul ; Christi Willison Gober, Surface micromachined structure fabrication methods for a fluid ejection device.
  472. Galambos, Paul C.; Okandan, Murat; Montague, Stephen; Smith, James H.; Paul, Phillip H.; Krygowski, Thomas W.; Allen, James J.; Nichols, Christopher A.; Jakubczak, II, Jerome F., Surface-micromachined microfluidic devices.
  473. Galambos, Paul C.; Okandan, Murat; Montague, Stephen; Smith, James H.; Paul, Phillip H.; Krygowski, Thomas W.; Allen, James J.; Nichols, Christopher A.; Jakubczak, II, Jerome F., Surface-micromachined microfluidic devices.
  474. Aizenberg, Joanna; Krupenkin, Thomas N.; Sydorenko, Oleksandr; Taylor, Joseph Ashley, Surfaces physically transformable by environmental changes.
  475. Aizenberg, Joanna; Krupenkin, Thomas Nikita; Sydorenko, Oleksander; Taylor, Joseph Ashley, Surfaces physically transformable by environmental changes.
  476. Lindsey, Jr., Paul C., System for separating devices from a semiconductor wafer.
  477. Saadany, Bassam Amanallah; Khalil, Diaa Abdelmaguid; Bourouina, Tarik Essif Eddine, System, method and apparatus for a micromachined interferometer using optical splitting.
  478. de Graff, Bassel; Ghaffari, Roozbeh; Arora, William J., Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy.
  479. Ghaffari, Roozbeh; de Graff, Bassel; Callsen, Gilman; Arora, William J.; Schlatka, Benjamin; Kuznetsov, Eugene, Systems, methods, and devices using stretchable or flexible electronics for medical applications.
  480. Greywall, Dennis S., Thermal actuator for an infrared sensor.
  481. Rogers, John A.; Kim, Hoon-Sik; Huang, Yonggang, Thermally managed LED arrays assembled by printing.
  482. Barth, Hans-Joachim; Pohl, Jens, Through substrate via semiconductor components.
  483. Birner, Albert; Hoeckele, Uwe; Kunstmann, Thomas; Seidel, Uwe, Through substrate via semiconductor components.
  484. Birner, Albert; Hoeckele, Uwe; Kunstmann, Thomas; Seidel, Uwe, Through substrate via semiconductor components.
  485. Birner, Albert; Hoeckele, Uwe; Kunstmann, Thomas; Seidel, Uwe, Through substrate via semiconductor components and methods of formation thereof.
  486. Stupar, Philip A.; DeNatale, Jeffrey F.; Borwick, III, Robert L.; Papavasiliou, Alexandros P., Through-substrate vias with polymer fill and method of fabricating same.
  487. DeNatale, Jeffrey F.; Lauxtermann, Stefan C., Through-wafer vias.
  488. Deshmukh, Ajay; Freeman, Dominique; Boecker, Dirk; Alden, Don, Tissue penetration device.
  489. Freeman, Dominique, Tissue penetration device.
  490. Freeman, Dominique M.; Alden, Don, Tissue penetration device.
  491. Freeman, Dominique M.; Alden, Don, Tissue penetration device.
  492. Freeman, Dominique M.; Alden, Don, Tissue penetration device.
  493. Freeman, Dominique M.; Alden, Don, Tissue penetration device.
  494. Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  495. Freeman, Dominique M.; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  496. Freeman, Dominique M.; Shoup, Thomas; Perry, Jeffrey; Castle, Mark; Kugizaki, Rodney; Englert, Robert; Alden, Don, Tissue penetration device.
  497. Freeman, Dominique; Alden, Don, Tissue penetration device.
  498. Freeman, Dominique; Alden, Don, Tissue penetration device.
  499. Freeman, Dominique; Alden, Don, Tissue penetration device.
  500. Freeman, Dominique; Boecher, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  501. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  502. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  503. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  504. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  505. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  506. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  507. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  508. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  509. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  510. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  511. Freeman, Dominique; Boecker, Dirk; Alden, Don; Briggs, Barry Dean; Leonard, Jon Hewitt; Freeman, Ray; Gogue, George, Tissue penetration device.
  512. Freeman, Dominique; Briggs, Barry Dean; Alden, Don, Tissue penetration device.
  513. Rogers, John A.; Omenetto, Fiorenzo G.; Hwang, Suk-Won; Tao, Hu; Kim, Dae-Hyeong; Kaplan, David, Transient devices designed to undergo programmable transformations.
  514. Liu, Jin; Roest, Aarnoud Laurens; Roozeboom, Freddy; Shabro, Vahid, Trench capacitor and method for producing the same.
  515. Okandan, Murat; Schwindt, Peter, Tuned optical cavity magnetometer.
  516. Hirschler, Joachim; Stranzl, Gudrun, Use of a protection layer to protect a passivation while etching a wafer.
  517. Xu, Qing; Rusu, Camelia; McMillin, Brian K.; Paterson, Alexander M., Use of spectrum to synchronize RF switching with gas switching during etch.
  518. DeNatale, Jeffrey F.; Lauxtermann, Stefan C.; Pettersson, Per-Olov, Vertical electrical device.
  519. Jian,Benjamin B., Vertically integrated optical devices coupled to optical fibers.
  520. Lei, Wei-Sheng; Eaton, Brad; Yalamanchili, Madhava Rao; Kumar, Ajay, Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean.
  521. Pai,Chien Shing; Pau,Stanley, Wafer-based ion traps.
  522. Hipwell, Jr.,Roger L.; Bonin,Wayne A.; Bartholomew,Kyle M.; Pendray,John R.; Boutaghou,Zine Eddine, Wafer-level fabrication method for top or side slider bond pads.
  523. Rogers, John A.; Kim, Rak-Hwan; Kim, Dae-Hyeong; Kaplan, David L.; Omenetto, Fiorenzo G., Waterproof stretchable optoelectronics.
  524. Aksyuk,Vladimir A.; Chan,Ho Bun; Fuchs,Dan; Greywall,Dennis S.; Simon,Maria E., Waveguide/MEMS switch.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트