$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Formation of microstamped patterns on surfaces and derivative articles 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B44C-001/22
출원번호 US-0131841 (1993-10-04)
발명자 / 주소
  • Kumar Amit (Sacramento CA) Whitesides George M. (Newton MA)
출원인 / 주소
  • President And Fellows Of Harvard College (Cambridge MA 02)
인용정보 피인용 횟수 : 790  인용 특허 : 0

초록

A method of patterning a material surface is provided in which an elastomeric stamp having a stamping surface is coated with a self-assembled monolayer forming species having a functional group selected to bind to a particular material, and the stamping surface is placed against a surface of materia

대표청구항

A method of patterning a material surface, comprising the steps of: (a) providing a stamp having a surface including at least one indentation formed therein, said indentation contiguous with a stamping surface defining a first pattern; (b) coating said stamping surface with a molecular species termi

이 특허를 인용한 특허 (790)

  1. Kayyem,Jon Faiz; O'Connor,Stephen D., AC methods for the detection of nucleic acids.
  2. Bradley, Michael Scott; Tischler, Jonathan R.; Bulovic, Vladimir, Absorbing film.
  3. Tischler, Jonathan; Bradley, Michael Scott; Bulovic, Vladimir, Absorbing film.
  4. Weitz, David A.; Franke, Thomas; Wixforth, Achim; Schmid, Lothar; Agresti, Jeremy; Abate, Adam R., Acoustic waves in microfluidics.
  5. Kanamathareddy, Suseela; Giroux, Karen J., Active agents and their oligomers and polymers.
  6. Kanamathareddy, Suseela; Giroux, Karen J., Active agents and their oligomers and polymers.
  7. GanapathiSubramanian,Mahadevan; Sreenivasan,Sldlgata V., Adaptive shape substrate support method.
  8. Rahul Singhvi ; Amit Kumar ; George M. Whitesides ; Donald E. Ingber ; Gabriel P. Lopez ; Daniel I. C. Wang ; Gregory N. Stephanopoulos, Adhering cells to cytophilic islands separated by cytophobic regions to form patterns and manipulate cells.
  9. Pellerite, Mark J.; Pocius, Alphonsus V.; Bommarito, G. Marco, Adhesive compositions including self-assembling molecules, adhesives, articles, and methods.
  10. Sreenivasan, Sidlgata V; Watts, Michael P. C.; Choi, Byung J.; Voisin, Ronald D., Alignment methods for imprint lithography.
  11. Millward, Dan B.; Westmoreland, Donald; Sandhu, Gurtej, Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces.
  12. Millward, Dan B.; Westmoreland, Donald; Sandhu, Gurtej, Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces.
  13. Iyer, Suresh S.; Pellerite, Mark J.; Jariwala, Chetan P., Amide-linked perfluoropolyether thiol compounds and processes for their preparation and use.
  14. Iyer, Suresh S.; Pellerite, Mark J.; Jariwala, Chetan P., Amide-linked perfluoropolyether thiol compounds and processes for their preparation and use.
  15. Uhrich, Kathryn; Morano, Michelle, Antioxidant-based poly(anhydride-esters).
  16. Raphel, Aaron; Kim, Enoch; Ostuni, Emanuele; Kirk, Gregory; Schueller, Olivier, Apparatus and method for handling membranes.
  17. Raphel,Aaron; Kim,Enoch; Ostuni,Emanuele; Kirk,Gregory; Schueller,Olivier, Apparatus and method for handling membranes.
  18. Dubrow, Robert S.; Casillas, Carlos, Apparatus and methods for high density nanowire growth.
  19. Nikiforov Theo T., Apparatus and methods for sequencing nucleic acids in microfluidic systems.
  20. Nikiforov Theo T., Apparatus and methods for sequencing nucleic acids in microfluidic systems.
  21. Nikiforov Theo T., Apparatus and methods for sequencing nucleic acids in microfluidic systems.
  22. Stone, Kate, Apparatus for and method of fabricating an electronic device by transfer of material onto a substrate.
  23. Alajoki,Marja Liisa; Wada,H. Garrett; Dubrow,Robert S., Apparatus for continuous liquid flow in microscale channels using wicking.
  24. Kim, Yong Bum; Kim, Jin Wuk, Apparatus for fabricating flat panel display.
  25. Kim, Jin Wuk; Lee, Bo Hyun, Apparatus for fabricating flat panel display device and method for fabricating thereof.
  26. Bedair, Sarah S.; Fedder, Gary K., Apparatuses, systems, and methods utilizing capillary action.
  27. Peter Wagner ; Steffen Nock ; Dana Ault-Riche ; Christian Itin, Arrays of protein-capture agents and methods of use thereof.
  28. Wagner Peter ; Nock Steffen ; Ault-Riche Dana ; Itin Christian, Arrays of protein-capture agents and methods of use thereof.
  29. Peter Wagner ; Dana Ault-Riche ; Steffen Nock ; Christian Itin, Arrays of proteins and methods of use thereof.
  30. Wagner, Peter; Ault-Riche, Dana; Nock, Steffen; Itin, Christian, Arrays of proteins and methods of use thereof.
  31. Agresti, Jeremy; Chu, Liang-Yin; Weitz, David A.; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assay and other reactions involving droplets.
  32. Yang, Kaiyuan; Wei, Ning; Kaylor, Rosann; Chidebelu-Eze, Chibueze Obinna; Atanassov, Zdravko Savov, Assay devices that utilize hollow particles.
  33. Agresti, Jeremy; Chu, Liang-Yin; Weitz, David A.; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assays and other reactions involving droplets.
  34. Agresti, Jeremy; Chu, Liang-Yin; Weitz, David A.; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assays and other reactions involving droplets.
  35. Agresti, Jeremy; Chu, Liang-Yin; Weitz, David A.; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assays and other reactions involving droplets.
  36. Agresti, Jeremy; Chu, Liang-Yin; Weitz, David A.; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assays and other reactions involving droplets.
  37. Weitz, David A.; Agresti, Jeremy; Chu, Liang-Yin; Kim, Jin-Woong; Rowat, Amy; Sommer, Morten; Dantas, Gautam; Church, George, Assays and other reactions involving droplets.
  38. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Assays for monitoring cell motility in real-time.
  39. Tian, Bozhi; Xie, Ping; Kempa, Thomas J.; Lieber, Charles M.; Cohen-Karni, Itzhaq; Qing, Quan; Duan, Xiaojie, Bent nanowires and related probing of species.
  40. Blackburn,Gary; Vielmetter,Jost G.; Kayyem,Jon Faiz, Binding acceleration techniques for the detection of analytes.
  41. Kirk,Gregory L.; Ostuni,Emanuele; Kim,Enoch; Schueller,Olivier; Sweetnam,Paul, Biological assays using gradients formed in microfluidic systems.
  42. Cohen, David; Kaylor, Rosann; Sayre, Curtis, Biomolecule diagnostic device.
  43. Sayre, Curtis; Cohen, David; Kaylor, Rosann, Biomolecule diagnostic devices.
  44. Sayre,Curtis; Cohen,David; Kaylor,Rosann, Biomolecule diagnostic devices and method for producing biomolecule diagnostic devices.
  45. Everhart Dennis S. ; Grunze Michael,DEX ; Kaylor Rosann Marie ; Morhard Friderike Karolin Deseree,DEX, Biosensing devices which produce diffraction images.
  46. Spangler,Brenda D.; Spangler,Charles W., Biosensors utilizing dendrimer-immobilized ligands and there use thereof.
  47. Lieber, Charles M.; Tian, Bozhi; Jiang, Xiaocheng, Branched nanoscale wires.
  48. Mecklenburg, Michael; Danielsson, Bengt; Wingvist, Fredrick, Broad specificity affinity arrays: a qualitative approach to complex sample discrimination.
  49. Mecklenburg, Michael; Danielsson, Bengt; Winqvist, Fredrick, Broad specificity affinity arrays: a qualitative approach to complex sample discrimination.
  50. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Watts,Michael P. C., Capillary imprinting technique.
  51. Hindson, Benjamin; Saxonov, Serge; Schnall-Levin, Michael, Capsule array devices and methods of use.
  52. Cherala, Anshuman; Choi, Byung Jin; Lad, Pankaj B.; Shackleton, Steven C., Chucking system comprising an array of fluid chambers.
  53. Zhihao Yang ; Yung-Rai Lee ; Thomas L. Penner ; Ravi Sharma, Coating of printhead nozzle plate.
  54. Natan,Michael J.; Mallouk,Thomas E., Colloidal rod particles as nanobar codes.
  55. Griffiths, Andrew; Weitz, David; Ahn, Keunho; Link, Darren; Bibette, Jerome, Compartmentalised combinatorial chemistry by microfluidic control.
  56. Griffiths, Andrew; Weitz, David; Link, Darren; Ahn, Keunho; Bibette, Jerome, Compartmentalised combinatorial chemistry by microfluidic control.
  57. Griffiths, Andrew; Weitz, David; Ahn, Keunho; Link, Darren R.; Bibette, Jerome, Compartmentalized screening by microfluidic control.
  58. Frey, Matthew H., Complementary touch panel electrodes.
  59. Watts,Michael P. C.; Voisin,Ronald D.; Sreenivasan,Sidlgata V., Compliant hard template for UV imprinting.
  60. Xu, Frank Y., Composition for adhering materials together.
  61. Xu,Frank Y.; Miller,Michael N.; Watts,Michael P. C., Composition for an etching mask comprising a silicon-containing material.
  62. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F.; Kazlas, Peter T., Composition including material, methods of depositing material, articles including same and systems for depositing material.
  63. Coe-Sullivan, Seth; Anc, Maria J.; Kim, Leeann; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F.; Kazlas, Peter T., Composition including material, methods of depositing material, articles including same and systems for depositing material.
  64. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F., Composition including material, methods of depositing material, articles including same and systems for depositing materials.
  65. Xu,Frank Y.; Miller,Michael N., Composition to reduce adhesion between a conformable region and a mold.
  66. Samuels, Michael; Olson, Jeffrey Charles; Watson, Andrew; Brown, Keith; Link, Darren Roy, Compositions and methods for molecular labeling.
  67. Castleberry, Steven A.; Hammond, Paula T., Compositions and methods for nucleic acid delivery.
  68. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mirna; Saxonov, Serge, Compositions and methods for sample processing.
  69. Davidson,James Courtney; Krulevitch,Peter A.; Maghribi,Mariam N.; Benett,William J.; Hamilton,Julie K.; Tovar,Armando R., Conductive inks for metalization in integrated polymer microsystems.
  70. Kayyem,Jon Faiz; O'Connor,Stephen D.; Gozin,Michael; Yu,Changjun; Meade,Thomas J., Conductive oligomers attached to electrodes and nucleoside analogs.
  71. Sreenivasan, Sidlgata V.; Choi, Byung-Jin; Voisin, Ronald D., Conforming template for patterning liquids disposed on substrates.
  72. Sreenivasan,Sidlgata V; Choi,Byung J.; Voisin,Ronald D., Conforming template for patterning liquids disposed on substrates.
  73. Hubert, Brian; Bulthaup, Colin; Gudeman, Chris; Spindt, Chris; Haubrich, Scott; Takashima, Mao; Rockenberger, Joerg; Kunze, Klaus; Zurcher, Fabio, Contact print methods.
  74. Rotem, Assaf; Weitz, David A.; Abate, Adam R.; Holtze, Christian, Control of emulsions, including multiple emulsions.
  75. Weitz, David A.; Rotem, Assaf; Abate, Adam R.; Holtze, Christian, Control of emulsions, including multiple emulsions.
  76. Smith, Renee Chivon; Hammond-Cunningham, Paula T., Controlled delivery of bioactive agents from decomposable films.
  77. Zettl, Alex K.; Yuzvinsky, Thomas D.; Fennimore, Adam M., Controlled placement and orientation of nanostructures.
  78. Babcock, Brian David, Cooling systems using coatings with surface energy gradient.
  79. Krivokapic, Zoran, Copper interconnect stamping.
  80. Millward, Dan B., Crosslinkable graft polymer non preferentially wetted by polystyrene and polyethylene oxide.
  81. Millward, Dan B., Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide.
  82. Millward, Dan B., Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide.
  83. Bhattacharya,Rabin; Wagner,Sigurd, Deformable organic devices.
  84. Kim,Enoch; Cruceta,Johanna R., Device and method for monitoring leukocyte migration.
  85. Singhvi Rahul ; Kumar Amit ; Whitesides George M. ; Ingber Donald E. ; Lopez Gabriel P. ; Wang Daniel I. C. ; Stephanopoulos Gregory N., Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  86. Singhvi, Rahul; Kumar, Amit; Whitesides, George M.; Ingber, Donald E.; Lopez, Gabriel P.; Wang, Daniel I. C.; Stephanopoulos, Gregory, Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  87. Singhvi,Rahul; Kumar,Amit; Whitesides,George M.; Ingber,Donald E.; Lopez,Gabriel P.; Wang,Daniel I. C.; Stephanopoulos,Gregory N., Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  88. Li, Shunpu; Newsome, Christopher; Russell, David; Kugler, Thomas, Device fabrication by ink-jet printing materials into bank structures, and embossing tool.
  89. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Device for arraying biomolecules and for monitoring cell motility in real-time.
  90. Bailey, Todd C.; Choi, Byung-Jin; Colburn, Matthew E.; Sreenivasan, Sidlgata V.; Willson, Carlton G.; Ekerdt, John G., Device for holding a template for use in imprint lithography.
  91. Kim,Enoch; Kirk,Gregory L.; Schueller,Olivier; Ostuni,Emanuele, Device for monitoring cell motility in real-time.
  92. Olsson, Lennart; Andersson, Peter, Device for transferring a pattern to an object.
  93. Jiang,Tongbi; Li,Li, Device having contact pad with a conductive layer and a conductive passivation layer.
  94. Kazlas, Peter T.; Zhou, Zhaoqun; Niu, Yuhua; Kim, Sang-Jin; Mashford, Benjamin S., Device including quantum dots.
  95. Kazlas, Peter T.; Zhou, Zhaoqun; Niu, Yuhua; Kim, Sang-Jin; Mashford, Benjamin S., Device including quantum dots.
  96. Kaylor,Rosann Marie; Chidebelu Eze,Chibueze O.; Lyng,Robert John; Quirk,Stephen, Diagnostic device and system.
  97. Cohen,David; Kaylor,Rosann, Diffraction-based diagnostic devices.
  98. Cohen,David; Kaylor,Rosann, Diffraction-based diagnostic devices.
  99. Cohen,David; Kaylor,Rosann, Diffraction-based diagnostic devices.
  100. Kaylor,Rosann; Cohen,David; Sayre,Curtis, Diffraction-based diagnostic devices.
  101. Sayre,Curtis; Kaylor,Rosann; Cohen,David, Diffraction-based diagnostic devices.
  102. Hutchison, John Brian; Olson, Jeffrey Charles; Link, Darren Roy, Digital analyte analysis.
  103. Larson, Jonathan William; Zhong, Qun; Link, Darren Roy, Digital analyte analysis.
  104. Larson, Jonathan William; Zhong, Qun; Link, Darren Roy, Digital analyte analysis.
  105. Link, Darren R.; Zhong, Qun; Watson, Andrew, Digital analyte analysis.
  106. Olson, Jeffrey; Link, Darren R., Digital analyte analysis.
  107. Turner David C. ; Martin Brett ; Gaber Bruce P., Direct molecular patterning using a micro-stamp gel.
  108. Harper, Bruce M., Disk alignment apparatus and method for patterned media production.
  109. Harper, Bruce M., Disk alignment apparatus and method for patterned media production.
  110. Coe-Sullivan, Seth; Moeller, Gregory V.; Bulovic, Vladimir; Kymissis, Ioannis, Displays including semiconductor nanocrystals and methods of making same.
  111. Lieber,Charles M.; Cui,Yi; Duan,Xiangfeng; Huang,Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors and fabricating such devices.
  112. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  113. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  114. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  115. Lieber,Charles M.; Cui,Yi; Duan,Xiangfeng; Huang,Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  116. Weitz, David A.; Abate, Adam R., Droplet creation techniques.
  117. Weitz, David A.; Abate, Adam R., Droplet creation techniques.
  118. Anderson, Norman G.; Anderson, N. Leigh; Braatz, James A., Dry deposition of materials for microarrays using matrix displacement.
  119. Krivokapic, Zoran, Dual damascene process using self-assembled monolayer.
  120. Krivokapic, Zoran, Dual damascene process using self-assembled monolayer and spacers.
  121. Qin Dong ; Xia Younan ; Whitesides George M., Elastomeric light valves.
  122. Arango, Alexi; Bulovic, Vladimir; Oertel, David; Bawendi, Moungi G., Electro-optical device.
  123. Bawendi, Moungi; Porter, Venda J.; Kastner, Marc; Mentzel, Tamar, Electro-optical device.
  124. Bawendi, Moungi; Porter, Venda J.; Kastner, Marc; Mentzel, Tamar, Electro-optical device including nanocrystals.
  125. Kayyem,Jon F.; O'Connor,Stephen D.; Gozin,Michael; Yu,Changjun; Meade,Thomas J., Electrodes linked via conductive oligomers to nucleic acids.
  126. Kayyem,Jon Faiz; O'Connor,Stephen D.; Gozin,Michael; Yu,Changjun, Electrodes linked via conductive oligomers to nucleic acids.
  127. Kayyem,Jon F.; O'Connor,Stephen D.; Gozin,Michael; Yu,Changjun; Meade,Thomas J., Electrodes linked via oligomers to nucleic acids.
  128. Cohen, David Samuel, Electroluminescent illumination source for optical detection systems.
  129. Link, Darren Roy; Weitz, David A.; Cristobal-Azkarate, Galder; Cheng, Zhengdong; Ahn, Keunho, Electronic control of fluidic species.
  130. Weitz, David A.; Link, Darren Roy; Cristobal-Azkarate, Galder; Cheng, Zhengdong; Ahn, Keunho, Electronic control of fluidic species.
  131. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Electrospray nozzle and monolithic substrate.
  132. Sreenivasan,Sidlgata V., Eliminating printability of sub-resolution defects in imprint lithography.
  133. Chu, Liang-Yin; Shum, Ho Cheung; Fernandez-Nieves, Alberto; Utada, Andrew S.; Santanach Carreras, Enric; Weitz, David A., Emulsions and techniques for formation.
  134. Boga,Rameshbabu; Chidebelu Eze,Chibueze Obinna; Kaylor,Rosann M.; Song,Xuedong, Enhanced diffraction-based biosensor devices.
  135. Nimmakayala, Pawan Kumar; Choi, Byung-Jin; Rafferty, Tom H.; Schumaker, Philip D., Enhanced multi channel alignment.
  136. Mirkin, Chad A.; Salaita, Khalid, Etching and hole arrays.
  137. Millward, Dan B., Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method.
  138. Millward, Dan B., Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method.
  139. Schueller Olivier J. A. ; Brittain Scott ; Whitesides George M., Fabrication of carbon microstructures.
  140. Yang,Hong; Deschatelets,Pascal; Whitesides,George M., Fabrication of ceramic microstructures.
  141. Bruzewicz, Derek A.; Boncheva-Bettex, Mila; Whitesides, George M.; Siegel, Adam; Weibel, Douglas B.; Shevkoplyas, Sergey S.; Martinez, Andres, Fabrication of conductive pathways, microcircuits and microstructures in microfluidic networks.
  142. Rogers John A. ; Jackman Rebecca J. ; Whitesides George M., Fabrication of small-scale cylindrical articles.
  143. Kazlas, Peter T.; Cox, Marshall; Coe-Sullivan, Seth; Kymissis, Ioannis, Flexible devices including semiconductor nanocrystals, arrays, and methods.
  144. Choi, Byung J.; Sreenivasan, Sidlgata V., Flexure based macro motion translation stage.
  145. Weitz, David A.; Franke, Thomas, Fluid infection using acoustic waves.
  146. Weitz, David A.; Abate, Adam R.; Hung, Tony; Mary, Pascaline, Fluid injection.
  147. Bharadwaj, Rajiv; Ness, Kevin; Mitra, Debkishore; Masquelier, Donald; Makarewicz, Anthony; Hindson, Christopher; Hindson, Benjamin; Saxonov, Serge, Fluidic devices, systems, and methods for encapsulating and partitioning reagents, and applications of same.
  148. Bharadwaj, Rajiv; Ness, Kevin; Mitra, Debkishore; Masquelier, Donald; Makarewicz, Anthony; Hindson, Christopher; Hindson, Benjamin; Saxonov, Serge, Fluidic devices, systems, and methods for encapsulating and partitioning reagents, and applications of same.
  149. Bharadwaj, Rajiv; Ness, Kevin; Mitra, Debkishore; Masquelier, Donald; Makarewicz, Anthony; Hindson, Christopher; Hindson, Benjamin; Saxonov, Serge, Fluidic devices, systems, and methods for encapsulating and partitioning reagents, and applications of same.
  150. Bharadwaj, Rajiv; Ness, Kevin; Mitra, Debkishore; Masquelier, Donald; Makarewicz, Anthony; Hindson, Christopher; Hindson, Benjamin; Saxonov, Serge, Fluidic devices, systems, and methods for encapsulating and partitioning reagents, and applications of same.
  151. Song,Xuedong; Kaylor,Rosann; Feaster,Shawn; Yang,Kaiyuan, Fluidics-based assay devices.
  152. Lee, T. Randall; Graupe, Michael, Fluorinated hydrogn bond stabilized surface modifying agents, articles made therefrom, methods for making and using the same.
  153. Holtze, Christian; Weitz, David A.; Hutchison, John Brian, Fluorocarbon emulsion stabilizing surfactants.
  154. Holtze, Christian; Weitz, David A.; Hutchison, John Brian, Fluorocarbon emulsion stabilizing surfactants.
  155. Link, Darren Roy; Weitz, David A.; Marquez-Sanchez, Manuel; Cheng, Zhengdong, Formation and control of fluidic species.
  156. Link, Darren Roy; Weitz, David A.; Marquez-Sanchez, Manuel; Cheng, Zhengdong, Formation and control of fluidic species.
  157. Choi, Byung Jin; Meissl, Mario J.; Sreenivasan, Sidlagata V.; Watts, Michael P. C., Formation of discontinuous films during an imprint lithography process.
  158. Hsu,Julia Wan Ping; Loo,Yueh Lin; Rogers,John A., Forming electrical contacts to a molecular layer.
  159. Wexler, Allan; Bennett, Grace Ann; Lindner, Kimberly S., Forming patterns using crosslinkable reactive polymers.
  160. Everhart Dennis S. ; Kaylor Rosann M. ; Jones Mark L., Gel sensors and method of use thereof.
  161. Babcock, Brian David, Gradient coatings with biopolymer-resistant domains.
  162. Millward, Dan B.; Westmoreland, Donald, Graphoepitaxial self-assembly of arrays of downward facing half-cylinders.
  163. Babcock, Carl P., Growth of photoresist layer in photolithographic process.
  164. Stanton, William A.; Wang, Fei; Shi, Zhong, Guided-mode-resonance transmission color filters for color generation in CMOS image sensors.
  165. Bellman, Robert A.; Ukrainczyk, Ljerka, High aspect ratio patterning of glass film.
  166. Robert A. Bellman ; Ljerka Ukrainczyk, High aspect ratio patterning of glass film.
  167. Ho, John; Bulovic, Vladimir; Osedach, Tim, High efficiency multi-layer photovoltaic devices.
  168. Choi, Byung Jin; Sreenivasan, Sidlgata V.; Johnson, Stephen C., High precision orientation alignment and gap control stages for imprint lithography processes.
  169. Bandic,Zvonimir Z.; Knigge,Bernhard E.; Mate,Charles Mathew, High resolution patterning of surface energy utilizing high resolution monomolecular resist for fabrication of patterned media masters.
  170. Bao, Zhenan; Makhjita, Anita; Rogers, John A., High-resolution method for patterning a substrate with micro-printing.
  171. Sreenivasan, Sidlgata V.; Choi, Byung J.; Colburn, Matthew; Bailey, Todd, High-resolution overlay alignment methods for imprint lithography.
  172. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  173. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  174. Coe-Sullivan, Seth; Moeller, Gregory V., Hyperspectral imaging device.
  175. Loiret-Bernal, Cedric; Demers, Linette; Rosner, Bjoern; Nelson, Michael; Eby, Ray; Fragala, Joseph S.; Shile, Raymond Roger; Zhang, Hua; Bussan, John Edward; Cruchon-Dupeyrat, Sylvain, Identification features.
  176. Voisin, Ronald D., Imprint alignment method, system and template.
  177. Voisin, Ronald D., Imprint alignment method, system, and template.
  178. Harper, Bruce M.; Saito, Toshiyuki Max, Imprint embossing alignment system.
  179. Harper, Bruce M.; Saito, Toshiyuki Max, Imprint embossing alignment system.
  180. Kolesnychenko, Aleksey Yurievich; Van Santen, Helmar; Kruijt-Stegeman, Yvonne, Imprint lithography.
  181. Kolesnychenko, Aleksey Yurievich; Van Santen, Helmar; Kruijt-Stegeman, Yvonne, Imprint lithography.
  182. Kolesnychenko, Aleksey Yurievich; Van Santen, Helmar; Kruijt-Stegeman, Yvonne Wendela, Imprint lithography.
  183. Kolesnychenko, Aleksey Yurievich; Van Santen, Helmar; Kruijt-Stegeman, Yvonne Wendela, Imprint lithography.
  184. Kruijt Stegeman, Yvonne Wendela; Knaapen, Raymond Jacobus; Dijksman, Johan Frederik; Krastev, Krassimir Todorov; Wuister, Sander Frederik; Kolesnychenko, Aleksey Yurievich; Van Der Mast, Karel Diederick; Simon, Klaus, Imprint lithography.
  185. Kruijt Stegeman,Yvonne Wendela; Kolesnychenko,Aleksey Yurievich; Loopstra,Erik Roelof; Dijksman,Johan Frederik; Van Santen,Helmar; Wuister,Sander Frederik, Imprint lithography.
  186. Kruijt-Stegeman, Yvonne Wendela; Dijksman, Johan Frederik; Kolesnychenko, Aleksey Yurievich; Van Der Mast, Karel Diederick; Simon, Klaus; Knaapen, Raymond Jacobus Wilhelmus; Krastev, Krassimir Todorov; Wuister, Sander Frederik, Imprint lithography.
  187. Kruijt-Stegeman, Yvonne Wendela; Dijksman, Johan Frederik; Kolesnychenko, Aleksey Yurievich; Van Der Mast, Karel Diederick; Simon, Klaus; Knaapen, Raymond Jacobus; Krastev, Krassimir Todorov; Wuister, Sander Frederik, Imprint lithography.
  188. Kruijt-Stegeman, Yvonne Wendela; Dijksman, Johan Frederik; Kolesnychenko, Aleksey Yurievich; Van Der Mast, Karel Diederick; Simon, Klaus; Knaapen, Raymond Jacobus; Krastev, Krassimir Todorov; Wuister, Sander Frederik, Imprint lithography.
  189. Lof,Joeri, Imprint lithography.
  190. Meijer, Peter Bartus Leonard; Kolesnychenko, Aleksey Yurievich, Imprint lithography.
  191. Meijer, Peter Bartus Leonard; Kolesnychenko, Aleksey Yurievich, Imprint lithography.
  192. Schram, Ivar; Dijksman, Johan Frederik; Wuister, Sander Frederik; Kruijt-Stegeman, Yvonne Wendela; Lammers, Jeroen Herman; Schroeders, Richard Joseph Marinus, Imprint lithography.
  193. Simon, Klaus, Imprint lithography.
  194. Simon, Klaus, Imprint lithography.
  195. Simon, Klaus, Imprint lithography.
  196. Simon, Klaus, Imprint lithography.
  197. Simon, Klaus, Imprint lithography.
  198. Simon, Klaus, Imprint lithography.
  199. Simon, Klaus, Imprint lithography.
  200. Simon, Klaus, Imprint lithography.
  201. Simon, Klaus; Van Der Mast, Karel Diederick; Dijksman, Johan Frederik, Imprint lithography.
  202. Van Santen, Helmar; Kolesnychenko, Aleksey Yurievich, Imprint lithography.
  203. Van Santen, Helmar; Kolesnychenko, Aleksey Yurievich; Kruijt Stegeman, Yvonne Wendela, Imprint lithography.
  204. Van Santen, Helmar; Kolesnychenko, Aleksey Yurievich; Kruijt-Stegeman, Yvonne Wendela, Imprint lithography.
  205. Van Santen,Helmar; Kolesnychenko,Aleksey Yurievich, Imprint lithography.
  206. Van Santen,Helmar; Kolesnychenko,Aleksey Yurievich; Neijzen,Jacobus Hermanus Maria; Verstegen,Emile, Imprint lithography.
  207. Verschuuren, Marcus Antonius; Wuister, Sander Frederik, Imprint lithography.
  208. Wuister, Sander Frederik; Dijksman, Johan Frederik; Kruijt-Stegeman, Yvonne Wendela; Schram, Ivar; Lammers, Jeroen Herman; Schroeders, Richard Joseph Marinus, Imprint lithography.
  209. Kruijt Stegeman,Yvonne Wendela; Kolensnychenko,Aleksey Yurievich; Van Santen,Helmar; Loopstra,Erik Roelof, Imprint lithography including alignment.
  210. Loopstra, Erik Roelof; Kolesnychenko, Aleksey Yurievich; Van Santen, Helmar, Imprint lithography method and apparatus.
  211. GanapathiSubramanian, Mahadevan; Choi, Byung-Jin; Meissl, Mario Johannes, Imprint lithography system and method.
  212. Bailey,Todd C.; Choi,Byung Jin; Colburn,Matthew E.; Sreenivasan,Sidlgata V.; Willson,Carlton G.; Ekerdt,John G., Imprint lithography template having a feature size under 250 nm.
  213. Sreenivasan,Sidlgata V.; Schumaker,Philip D., Imprint lithography template having opaque alignment marks.
  214. Dijksman, Johan Frederik; Pierik, Anke; Vernhout, Martin Maurice; Wuister, Sander Frederik; Kruijt-Stegeman, Yvonne Wendela; Schram, Ivar, Imprintable medium dispenser.
  215. Treves, David; Dorsey, Paul C., Imprinting method with embossing foil free to expand for nano-imprinting of recording media.
  216. Sreenivasan, Sidlgata V.; Choi, Byung-Jin, Imprinting of partial fields at the edge of the wafer.
  217. Kong, Yen Peng; Low, Hong Yee; Pang, Stella W.; Yee, Albert F., Imprinting of supported and free-standing 3-D micro- or nano-structures.
  218. Tan, Li; Kong, Yen Peng; Pang, Stella W.; Yee, Albert F., Imprinting polymer film on patterned substrate.
  219. Griffiths, Andrew David; Weitz, David A.; Link, Darren R.; Ahn, Keunho; Bibette, Jerome, In vitro evolution in microfluidic systems.
  220. Griffiths, Andrew David; Weitz, David A.; Link, Darren R.; Ahn, Keunho; Bibette, Jerome, In vitro evolution in microfluidic systems.
  221. Griffiths, Andrew; Weitz, David; Ahn, Keunho; Link, Darren R.; Bibette, Jerome, In vitro evolution in microfluidic systems.
  222. Datta Pabitra ; Rivenburg Howard Christopher ; Desai Nitin, Inhaler apparatus with modified surfaces for enhanced release of dry powders.
  223. Datta, Pabitra; Rivenburg, Howard Christopher; Desai, Nitin, Inhaler apparatus with modified surfaces for enhanced release of dry powders.
  224. Zu, Lijun; Frey, Matthew H., Ink solutions for microcontact printing.
  225. Masquelier, Donald A.; Hindson, Benjamin; Ness, Kevin; Bharadwaj, Rajiv, Instrument systems for integrated sample processing.
  226. Masquelier, Donald A.; Hindson, Benjamin; Ness, Kevin; Bharadwaj, Rajiv, Instrument systems for integrated sample processing.
  227. Chou, Hou Pu; Quake, Stephen R., Integrated active flux microfluidic devices and methods.
  228. Quake, Stephen R.; Chou, Hou-Pu, Integrated active flux microfluidic devices and methods.
  229. Quake, Stephen R.; Chou, Hou-Pu, Integrated active flux microfluidic devices and methods.
  230. Quake,Stephen R.; Chou,Hou Pu, Integrated active flux microfluidic devices and methods.
  231. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  232. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  233. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  234. Schultz, Gary A.; Corso, Thomas N., Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method.
  235. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  236. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  237. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  238. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  239. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  240. James E. Moon ; Timothy J. Davis ; Gregory J. Galvin, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  241. Moon James E. ; Davis Timothy J. ; Galvin Gregory J., Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  242. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J., Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  243. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  244. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  245. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  246. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  247. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  248. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Integrated monolithic microfabricated electrospray and liquid chromatography system and method.
  249. Weaver, Billy L.; Frey, Matthew H.; Zu, Lijun; Moran, Cristin E., Integrated passive circuit elements for sensing devices.
  250. Haubrich, Scott; Kunze, Klaus; Dunphy, James C; Gudeman, Chris; Rockenberger, Joerg; Zurcher, Fabio; Sleiman, Nassrin; Takashima, Mao; Spindt, Chris, Interface layer for the fabrication of electronic devices.
  251. Haubrich,Scott; Kunze,Klaus; Dunphy,James C.; Gudeman,Chris; Rockenberger,Joerg; Zurcher,Fabio; Sleiman,Nassrin; Takashima,Mao; Spindt,Chris, Interface layer for the fabrication of electronic devices.
  252. Nimmakayala,Pawan Kumar; Rafferty,Tom H.; Aghili,Alireza; Choi,Byung Jin; Schumaker,Philip D.; Babbs,Daniel A., Interferometric analysis for the manufacture of nano-scale devices.
  253. Nimmakayala, Pawan Kumar; Rafferty, Tom H.; Aghili, Alireza; Choi, Byung Jin; Schumaker, Philip D.; Babbs, Daniel A.; Truskett, Van N., Interferometric analysis method for the manufacture of nano-scale devices.
  254. Nimmakayala, Pawan Kumar; Rafferty, Tom H.; Aghili, Alireza; Choi, Byung-Jin; Schumaker, Philip D.; Babbs, Daniel A.; Truskett, Van Nguyen, Interferometric analysis method for the manufacture of nano-scale devices.
  255. DeSimone, Joseph M.; Denison Rothrock, Ginger; Maynor, Benjamin W.; Rolland, Jason P., Isolated and fixed micro and nano structures and methods thereof.
  256. DeSimone, Joseph M.; Rothrock, Ginger Denison; Maynor, Benjamin W.; Rolland, Jason P., Isolated and fixed micro and nano structures and methods thereof.
  257. Harper,Bruce M.; Saito,Toshiyuki Max; Bajorek,Christopher H., Isothermal imprint embossing system.
  258. Whitesides, George M.; Kumar, Amit, Kit For Microcontact Printing.
  259. Tischler, Jonathan; Bradley, Michael Scott; Bulovic, Vladimir, Light emitting device.
  260. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Halpert, Jonathan E.; Arango, Alexi, Light emitting device including semiconductor nanocrystals.
  261. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Halpert, Jonathan E.; Arango, Alexi, Light emitting device including semiconductor nanocrystals.
  262. Chen, Jianglong; Bulovic, Vladimir; Anikeeva, Polina; Bawendi, Moungi G., Light emitting device including semiconductor nanocrystals.
  263. Coe-Sullivan, Seth; Steckel, Jonathan S.; Kim, LeeAnn; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  264. Wood, Vanessa; Panzer, Matthew J.; Caruge, Jean-Michel; Halpert, Jonathan E.; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  265. Wood, Vanessa; Panzer, Matthew J.; Halpert, Jonathan E.; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  266. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Arango, Alexi; Halpert, Jonathan E., Light emitting devices including semiconductor nanocrystals.
  267. Coe-Sullivan, Seth; Cox, Marshall; Roush, Caroline J.; Steckel, Jonathan S., Light-emitting devices and displays with improved performance.
  268. Coe-Sullivan, Seth; Ramprasad, Dorai; Kymissis, Ioannis; Bulovic, Vladimir; Cox, Marshall; Roush, Caroline J.; Kazlas, Peter T.; Steckel, Jonathan S., Light-emitting devices and displays with improved performance.
  269. Tasch, Stefan; Hoschopf, Hans, Light-emitting diode arrangement with heat dissipating plate.
  270. Bietsch, Alexander; Delamarche, Emmanuel; Michel, Bruno; Schmid, Heinz, Liquid crystal display.
  271. Chou,Stephen Y., Lithographic apparatus for molding ultrafine features.
  272. Biebuyck Hans Andre,CHX ; Michel Bruno,CHX, Lithographic surface or thin layer modification.
  273. Schram, Ivar; Dijksman, Johan Frederik; Wuister, Sander Frederik; Kruijt-Stegeman, Yvonne Wendela; Lammers, Jeroen Herman; Schroeders, Richard Joseph Marinus, Lithography meandering order.
  274. Kobrin, Boris, Lithography method.
  275. Chen, Wei; Harkness, Brian Robert; Sudbury-Holtschlag, Joan; Petroff, Lenin James, Lithography processes using phase change compositions.
  276. Chang,Ying Chih; Frank,Curtis W.; McGall,Glenn, Macromolecular arrays on polymeric brushes and methods for preparing the same.
  277. Bamdad, Cynthia C., Magnetic in situ dilution.
  278. Miller, Benjamin J.; Zhong, Qun; Link, Darren Roy, Manipulating droplet size.
  279. Fraden, Seth; Cristobal-Azkarate, Galder, Manipulation of fluids and reactions in microfluidic systems.
  280. Fraden, Seth; Cristobal-Azkarate, Galder, Manipulation of fluids and reactions in microfluidic systems.
  281. Fraden, Seth; Cristobal-Azkarate, Galder, Manipulation of fluids and reactions in microfluidic systems.
  282. Fraden, Seth; Boukellal, Hakim; Jia, Yanwei; Selimovic, Seila; Rowat, Amy; Agresti, Jeremy; Weitz, David A., Manipulation of fluids, fluid components and reactions in microfluidic systems.
  283. Fraden, Seth; Boukellal, Hakim; Jia, Yanwei; Selimovic, Seila; Rowat, Amy; Agresti, Jeremy; Weitz, David A., Manipulation of fluids, fluid components and reactions in microfluidic systems.
  284. Miller, Benjamin; Hutchison, Brian; Wilson, Andrew; Larson, Jonathan; Zhong, Qun; Yurkovetsky, Yevgeny; Link, Darren; Weary, Mark, Manipulation of microfluidic droplets.
  285. Rudmann, Hartmut; Maciossek, Andreas; Rossi, Markus, Manufacturing a replication tool, sub-master or replica.
  286. Kobrin, Boris, Mask for near-field lithography and fabrication the same.
  287. Xu, Frank Y.; Watts, Michael P. C.; Stacey, Nicholas A., Materials for imprint lithography.
  288. Song, Xuedong; Kaylor, Rosann Marie Matthews, Membrane-based assay devices.
  289. Song,Xuedong; Kaylor,Rosann, Membrane-based assay devices.
  290. Song, Xuedong; Kaylor, Rosann; Knotts, Michael; Wei, Ning, Membrane-based assay devices that utilize time-resolved fluorescence.
  291. Song, Xuedong, Membrane-based lateral flow assay devices that utilize phosphorescent detection.
  292. Song, Xuedong, Membrane-based lateral flow assay devices that utilize phosphorescent detection.
  293. Barton, Roger W.; Weaver, Billy L.; Geaghan, Bernard O.; Hable, Brock A., Mesh patterns for touch sensor electrodes.
  294. Barton, Roger W.; Weaver, Billy L; Geaghan, Bernard O.; Hable, Brock A., Mesh patterns for touch sensor electrodes.
  295. Weaver, Billy L.; Barton, Roger W.; Herdtle, Thomas; Hable, Brock A., Mesh patterns for touch sensor electrodes.
  296. Weaver, Billy L.; Barton, Roger W.; Herdtle, Thomas; Hable, Brock A., Mesh patterns for touch sensor electrodes.
  297. Weaver, Billy L.; Barton, Roger W.; Herdtle, Thomas; Hable, Brock A., Mesh patterns for touch sensor electrodes.
  298. Weitz, David A.; Holtze, Christian; Abate, Adam R.; Lee, Daeyeon; Do, Thao, Metal oxide coating on surfaces.
  299. Fukushima, Motoo; Tabei, Eiichi; Furihata, Tomoyoshi; Arakawa, Masaya, Metal pattern forming method.
  300. Cheon, Jin Woo; Cho, Sung Nam; Park, Jong Il; Lee, Kyung Bok; Chang, Seok; Hwang, Soon Taik, Metallic nanoparticle cluster ink and method for forming metal pattern using the same.
  301. Quake Stephen ; Volkmuth Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  302. Quake, Stephen R.; Volksmuth, Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  303. Quake, Stephen R.; Volksmuth, Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  304. Quake, Stephen R.; Volksmuth, Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  305. Quake, Stephen R.; Volksmuth, Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  306. Quake, Stephen; Volkmuth, Wayne D., Method and apparatus for analysis and sorting of polynucleotides based on size.
  307. Masters, Brett P., Method and apparatus for analyzing bioprocess fluids.
  308. Goh, M. Cynthia; Goh, Jane B.; Mcaloney, Richard; Loo, Richard, Method and apparatus for assay for multiple analytes.
  309. Marja Liisa Alajoki ; H. Garrett Wada ; Robert S. Dubrow, Method and apparatus for continuous liquid flow in microscale channels using pressure injection, wicking, and electrokinetic injection.
  310. Albrecht, Thomas Robert; Yang, Henry Hung, Method and apparatus for creating a topographically patterned substrate.
  311. Masters, Brett P.; Miller, Michael; Gulati, Vishal K.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for detecting estradiol and metabolites thereof using an acoustic device.
  312. Miller,Michael F.; Masters,Brett P.; Lundstrom,Mark E., Method and apparatus for detection of analyte using a flexural plate wave device and magnetic particles.
  313. Srivastava, Alok; Wang, Wayne U.; Miller, Michael; Masters, Brett P.; Lundstrom, Mark, Method and apparatus for detection of analyte using an acoustic device.
  314. Stone, Howard A.; Anna, Shelley L.; Bontoux, Nathalie; Link, Darren R.; Weitz, David A.; Gitlin, Irina; Kumacheva, Eugenia; Garstecki, Piotr; Diluzio, Willow; Whitesides, George M., Method and apparatus for fluid dispersion.
  315. Stone, Howard A.; Anna, Shelley L.; Bontoux, Nathalie; Link, Darren Roy; Weitz, David A.; Gitlin, Irina; Kumacheva, Eugenia; Garstecki, Piotr; Diluzio, Willow R.; Whitesides, George M., Method and apparatus for fluid dispersion.
  316. Stone, Howard A.; Anna, Shelley L.; Bontoux, Nathalie; Link, Darren Roy; Weitz, David A.; Gitlin, Irina; Kumacheva, Eugenia; Garstecki, Piotr; Diluzio, Willow R.; Whitesides, George M., Method and apparatus for fluid dispersion.
  317. Weitz, David A.; Link, Darren Roy; Utada, Andrew S., Method and apparatus for forming multiple emulsions.
  318. Law,Kam S.; Bachrach,Robert Z.; White,John M.; Shang,Quanyuan, Method and apparatus for metallization of large area substrates.
  319. Cracauer,Raymond Francis; Ganske,Rocky; Goh,M. Cynthia; Goh,Jane B.; Liederman,Adam Brian; Loo,Richard; Tam,Pui, Method and apparatus for micro-contact printing.
  320. Otake Tadashi,JPX ; Mino Norihisa,JPX ; Nakagawa Tohru,JPX ; Soga Mamoru,JPX ; Ogawa Kazufumi,JPX ; Nomura Takaiki,JPX ; Takebe Yasuo,JPX, Method and apparatus for producing molecular film.
  321. Otake Tadashi,JPX ; Mino Norihisa,JPX ; Nakagawa Tohru,JPX ; Soga Mamoru,JPX ; Ogawa Kazufumi,JPX ; Nomura Takaiki,JPX ; Takebe Yasuo,JPX, Method and apparatus for producing molecular film.
  322. Amontov, Sergey; Delamarche, Emmanuel; Michel, Bruno, Method and apparatus for surface treatment.
  323. Miller, Michael; Masters, Brett P.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for therapeutic drug monitoring using an acoustic device.
  324. Hirai, Yuma; Minoura, Kiyoshi; Nomura, Fumiyasu, Method and device for manufacturing sheet having fine shape transferred thereon.
  325. Hirai, Yuma; Minoura, Kiyoshi; Nomura, Fumiyasu, Method and device for manufacturing sheet having fine shape transferred thereon.
  326. Thallner, Erich, Method and device for producing a nanopatterned disc.
  327. Thallner, Erich, Method and device for producing a nanopatterned disc.
  328. Choi, Byung-Jin; Sreenivasan, Sidlgata V., Method and system for double-sided patterning of substrates.
  329. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mima; Saxonov, Serge; Hardenbol, Paul; Bharadwaj, Rajiv; Zheng, Xinying; Belgrader, Phillip, Method and systems for processing polynucleotides.
  330. Xu, Frank Y., Method for adhering materials together.
  331. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Method for arraying biomolecules and for monitoring cell motility in real-time.
  332. Ostuni, Emanuele; Kane, Ravi; Whitesides, George M.; Jackman, Rebecca J.; Duffy, David C., Method for cell patterning.
  333. Xu, Frank; McMackin, Ian; Lad, PanKaj B.; Watts, Michael P. C., Method for controlling distribution of fluid components on a body.
  334. Vladimir Bulovic ; Stephen R. Forrest, Method for depositing a patterned layer of material over a substrate.
  335. Schumaker, Philip D., Method for detecting a particle in a nanoimprint lithography system.
  336. Jiang, Tongbi; Li, Li, Method for electroless plating a contact pad.
  337. Jiang, Tongbi; Li, Li, Method for electroless plating a contact pad.
  338. Choi, Byung-Jin; GanapathiSubramanian, Mahadevan; Choi, Yeong-jun; Meissl, Mario J., Method for expelling gas positioned between a substrate and a mold.
  339. Choi, Byung-Jin; Sreenivasan, Sidlgata V.; McMackin, Ian M.; Lad, Pankaj B., Method for expelling gas positioned between a substrate and a mold.
  340. Choi, Byung-Jin; Sreenivasan, Sidlgata V.; McMackin, Ian Matthew; Lad, Pankaj B., Method for expelling gas positioned between a substrate and a mold.
  341. Song, Xuedong, Method for extending the dynamic detection range of assay devices.
  342. Watts,Michael P. C.; Sreenivasan,Sidlgata V., Method for fabricating bulbous-shaped vias.
  343. Willson, Carlton Grant; Sreenivasan, Sidlgata V.; Bonnecaze, Roger T., Method for fabricating nanoscale patterns in light curable compositions using an electric field.
  344. Bookbinder, Dana C.; Lahiri, Joydeep, Method for fabricating supported bilayer-lipid membranes.
  345. Bookbinder, Dana C.; Lahiri, Joydeep, Method for fabricating supported bilayer-lipid membranes.
  346. Bookbinder,Dana C.; Lahiri,Joydeep, Method for fabricating supported bilayer-lipid membranes.
  347. McMackin, Ian M.; Lad, Pankaj B.; Truskett, Van N., Method for fast filling of templates for imprint lithography using on template dispense.
  348. Craig Jon Hawker ; James Lupton Hedrick ; Nicholas Abbott, Method for forming polymer brush pattern on a substrate surface.
  349. Xu, Frank Y.; Sreenivasan, Sidlgata V.; Fletcher, Edward Brian, Method for imprint lithography utilizing an adhesion primer layer.
  350. Salleo,Alberto; Wong,William S., Method for large-area patterning dissolved polymers by making use of an active stamp.
  351. O'Hare, Jonathan J.; Greger, Richard W.; Pekurovsky, Mikhail L.; Meehan, Daniel P., Method for making, inking, and mounting stamps for micro-contact printing.
  352. Miyake, Hideyuki; Hattori, Hideshi; Takahashi, Yoichi, Method for manufacturing cell culture substrate.
  353. Mayers, Brian T.; Carbeck, Jeffrey; Saadi, Wajeeh; Whitesides, George M.; Kügler, Ralf; Kursawe, Monika; Canisius, Johannes, Method for patterning a surface.
  354. Cohen, David; Kaylor, Rosann; Sayre, Curtis, Method for producing biomolecule diagnostic devices.
  355. Hattori, Hideshi; Miyake, Hideyuki; Kobayashi, Hironori, Method for producing cell culture substrate and apparatus for producing cell culture substrate.
  356. Millward, Dan B.; Quick, Timothy A.; Greeley, J. Neil, Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure.
  357. Porro, Fabrizio, Method for the surface treatment of a semiconductor substrate.
  358. Heidari,Babak, Method in connection with the production of a template and the template thus produced.
  359. Choi, Byung-Jin; Sreenivasan, Sidlgata V.; Willson, Carlton Grant; Colburn, Mattherw E.; Bailey, Todd C.; Ekerdt, John G., Method of automatic fluid dispensing for imprint lithography processes.
  360. Sreenivasan, Sidlgata V.; McMackin, Ian M.; Melliar-Smith, Christopher Mark; Choi, Byung-Jin, Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks.
  361. Everhart Dennis S. ; Whitesides George M., Method of contact printing on gold coated films.
  362. Marty,Valerie J; Etheridge,Tom, Method of creating a patterned monolayer on a surface.
  363. McMackin, Ian M.; Stacey, Nicholas A.; Babbs, Daniel A.; Voth, Duane J.; Watts, Mathew P. C.; Truskett, Van N.; Xu, Frank Y.; Voisin, Ronald D.; Lad, Pankaj B., Method of creating a turbulent flow of fluid between a mold and a substrate.
  364. Bradley, Donal; Chen, Lichun; Dagenaar, Patrick, Method of depositing organic layers onto a substrate.
  365. Dijksman, Johan Frederik; Pierik, Anke; Vernhout, Martin Maurice; Wuister, Sander Frederik; Kruijt-Stegeman, Yvonne Wendela; Schram, Ivar, Method of dispensing imprintable medium.
  366. Wachenschwanz, David E.; Bertero, Gerardo A.; Treves, David; Homola, Andrew; Chao, James L.; Bajorek, Christopher H., Method of fabricating a magnetic discrete track recording disk.
  367. Singhvi Rahul ; Kumar Amit ; Whitesides George M. ; Ingber Donald E. ; Lopez Gabriel P. ; Wang Daniel I. C. ; Stephanopoulos Gregory N., Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices an.
  368. Kornilovich, Pavel; Mardilovich, Peter; Peters, Kevin F, Method of forming a contact printing stamp.
  369. Sreenivasan,Sidlgata V., Method of forming a recessed structure employing a reverse tone process.
  370. Enoch Kim ; Younan Xia ; Milan Mrksich ; Rebecca J. Jackman ; Xiao-Mei Zhao ; Stephen P. Smith ; Mara G. Prentiss ; George M. Whitesides ; Christian Marzolin FR, Method of forming articles including waveguides via capillary micromolding and microtransfer molding.
  371. Kim, Enoch; Xia, Younan; Mrksich, Milan; Jackman, Rebecca J.; Zhao, Xiao-Mei; Smith, Stephen P.; Prentiss, Mara G.; Whitesides, George M.; Marzolin, Christian, Method of forming articles including waveguides via capillary micromolding and microtransfer molding.
  372. Tricia L. Breen ; Peter M. Fryer ; Ronald Wayne Nunes ; Mary Elizabeth Rothwell, Method of forming patterned indium zinc oxide and indium tin oxide films via microcontact printing and uses thereof.
  373. Sreenivasan,Sidlgata V., Method of forming stepped structures employing imprint lithography.
  374. Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making high performance optical edge and notch filters and resulting products.
  375. Clarke,Glenn; Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making highly discriminating optical edge filters and resulting products.
  376. Suleski Thomas J., Method of making optical replicas by stamping in photoresist and replicas formed thereby.
  377. Natan, Michael J.; Mallouk, Thomas E.; Martin, Benjamin R.; Reiss, Brian D.; Dietz, Louis J.; Winkler, James L., Method of manufacture of colloidal rod particles as nanobar codes.
  378. Natan,Michael J.; Mallouk,Thomas E.; Martin,Benjamin R.; Reiss,Brian D.; Dietz,Louis J.; Winkler,James L., Method of manufacture of colloidal rod particles as nanobar codes.
  379. Pellerite, Mark J.; Pocius, Alphonsus V.; Bommarito, G. Marco, Method of modifying a surface molecules, adhesives, articles, and methods.
  380. Biebuyck Hans,CHX ; Delamarche Emmanuel,CHX ; Michel Bruno,CHX, Method of oriented depositing chemically defined bodies.
  381. Schueller,Olivier; Kim,Enoch; Whitesides,George, Method of patterning a surface using a deformable stamp.
  382. Delamarche, Emmanuel; Geissler, Matthias; Wolf, Heiko, Method of patterning the surface of an article using positive microcontact printing.
  383. Davidson, James Courtney; Krulevitch, Peter A.; Maghribi, Mariam N.; Benett, William J.; Hamilton, Julie K.; Tovar, Armando R., Method of producing an electronic unit having a polydimethylsiloxane substrate and circuit lines.
  384. Chen, Han-Wen; Verhaverbeke, Steven; Gouk, Roman; See, Guan Huei; Gu, Yu; Sundarrajan, Arvind, Method of redistribution layer formation for advanced packaging applications.
  385. Rubin, Daniel I., Method of reducing pattern distortions during imprint lithography processes.
  386. Wei, Ning, Method of reducing the sensitivity of assay devices.
  387. Choi, Byung Jin; Cherala, Anshuman; Babbs, Daniel A., Method of retaining a substrate to a wafer chuck.
  388. Griffiths, Andrew David; Abell, Chris; Hollfelder, Florian; Mastrobattista, Enrico, Method of synthesis and testing of combinatorial libraries using microcapsules.
  389. Griffiths, Andrew David; Abell, Chris; Hollfelder, Florian; Mastrobattista, Enrico, Method of synthesis and testing of combinatorial libraries using microcapsules.
  390. Kornilovich,Pavel; Mardilovich,Peter; Peters,Kevin F, Method of utilizing a contact printing stamp.
  391. Sreenivasan, Sidlgata V.; Watts, Michael P. C., Method to arrange features on a substrate to replicate features having minimal dimensional variability.
  392. Choi, Yeong-Jun; Choi, Byung-Jin, Method to control an atmosphere between a body and a substrate.
  393. Blanchet, Graciela Beatriz; Lee, Hee Hyun, Method to form a pattern of functional material on a substrate using a mask material.
  394. Millward, Dan B.; Sandhu, Gurtej S., Method to produce nanometer-sized features with directed assembly of block copolymers.
  395. Choi,Byung Jin; Xu,Frank Y.; Stacey,Nicholas A.; Truskett,Van Xuan Hong; Watts,Michael P. C., Method to reduce adhesion between a conformable region and a pattern of a mold.
  396. Truskett,Van N.; Mackay,Christopher J.; Choi,B. Jin, Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer.
  397. Sauer Budge, Alexis F.; Fitch, Eric; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting bacteria using an acoustic device.
  398. Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting cardiac injury markers using an acoustic device.
  399. Sauer Budge, Alexis F.; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting viruses using an acoustic device.
  400. Bearinger, Jane P.; Hubbell, Jeffrey A.; Michlitsch, Kenneth J., Methods and apparatus for selective, oxidative patterning of a surface.
  401. Wong, Alexander Y., Methods and apparatus for sorting data.
  402. Coe-Sullivan, Seth; Kymissis, Joannis, Methods and articles including nanomaterial.
  403. Nassef, Hany; Facer, Geoff; Unger, Marc, Methods and devices for electronic and magnetic sensing of the contents of microfluidic flow channels.
  404. Nassef, Hany; Facer, Geoffrey Richard; Unger, Marc, Methods and devices for electronic sensing.
  405. Nassef, Hany; Facer, Geoffrey; Unger, Marc, Methods and devices for electronic sensing.
  406. DeSimone, Joseph M.; Rolland, Jason P.; Rothrock, Ginger M. Denison; Resnick, Paul, Methods and materials for fabricating microfluidic devices.
  407. DeSimone, Joseph M.; Rolland, Jason P.; Rothrock, Ginger M. Denison; Resnick, Paul, Methods and materials for fabricating microfluidic devices.
  408. Maracas George N. ; Ackley Donald E. ; Reber William L. ; Harvey ; III Thomas B., Methods and systems for biological reagent placement.
  409. Maracas George N. ; Ackley Donald E. ; Reber William L. ; Harvey ; III Thomas B., Methods and systems for biological reagent placement.
  410. Freer, Erik; Hamilton, James M.; Stumbo, David P.; Komiya, Kenji; Shibata, Akihide, Methods and systems for electric field deposition of nanowires and other devices.
  411. Belgrader, Phillip; Bent, Zachary; Gopalan, Vijay Kumar Sreenivasa; Harada, Josephine; Hindson, Christopher; Lenji, Mohammad Rahimi; McDermott, Geoffrey; Meer, Elliott; Mikkelsen, Tarjei Sigurd; O'Keeffe, Christopher Joachim; Pfeiffer, Katherine; Price, Andrew D.; Ryvkin, Paul; Saxonov, Serge; Stuelpnagel, John R.; Terry, Jessica Michele, Methods and systems for processing polynucleotides.
  412. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mirna; Saxonov, Serge, Methods and systems for processing polynucleotides.
  413. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mirna; Saxonov, Serge, Methods and systems for processing polynucleotides.
  414. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mirna; Saxonov, Serge, Methods and systems for processing polynucleotides.
  415. Hindson, Benjamin; Hindson, Christopher; Schnall-Levin, Michael; Ness, Kevin; Jarosz, Mirna; Saxonov, Serge; Hardenbol, Paul; Zheng, Xinying; Belgrader, Phillip, Methods and systems for processing polynucleotides.
  416. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul, Methods and systems for processing polynucleotides.
  417. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul, Methods and systems for processing polynucleotides.
  418. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul, Methods and systems for processing polynucleotides.
  419. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul; Schnall-Levin, Michael; Jarosz, Mirna, Methods and systems for processing polynucleotides.
  420. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul; Schnall-Levin, Michael; Jarosz, Mirna, Methods and systems for processing polynucleotides.
  421. Stephen Quake ; Wayne D. Volkmuth, Methods for analysis and sorting of polynucleotides.
  422. Hindson, Benjamin; Saxonov, Serge; Schnall-Levin, Michael, Methods for droplet-based sample preparation.
  423. Hindson, Benjamin; Saxonov, Serge; Schnall-Levin, Michael, Methods for droplet-based sample preparation.
  424. DeSimone, Joseph M.; Rolland, Jason P.; Maynor, Benjamin W.; Euliss, Larkin E.; Rothrock, Ginger Denison; Dennis, Ansley E.; Samulski, Edward T.; Samulski, R. Jude, Methods for fabricating isolated micro- and nano-structures using soft or imprint lithography.
  425. DeSimone, Joseph M.; Rolland, Jason P.; Maynor, Benjamin W.; Euliss, Larken E.; Rothrock, Ginger Denison; Dennis, Ansley E.; Samulski, Edward T.; Samulski, R. Jude, Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography.
  426. DeSimone, Joseph M.; Rolland, Jason P.; Maynor, Benjamin W.; Euliss, Larken E.; Rothrock, Ginger Denison; Dennis, Ansley E.; Samulski, Edward T.; Samulski, R. Jude, Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography.
  427. DeSimone, Joseph M.; Rolland, Jason P.; Maynor, Benjamin W.; Euliss, Larken E.; Rothrock, Ginger Denison; Dennis, Ansley E; Samulski, Edward T.; Samulski, R. Jude, Methods for fabricating isolated micro-and nano-structures using soft or imprint lithography.
  428. King, William P.; Cannon, Andrew H., Methods for fabricating microstructures.
  429. Yurkovetsky, Yevgeny; Link, Darren Roy; Larson, Jonathan William, Methods for forming mixed droplets.
  430. Blanchet Fincher,Graciela Beatriz; Visscher,Karyn B., Methods for forming patterns on a filled dielectric material on substrates.
  431. Genzer, Jan; Efimenko, Kirill, Methods for forming tunable molecular gradients on substrates.
  432. Choi, Byung J.; Colburn, Matthew; Sreenivasan, S. V.; Willson, C. Grant; Bailey, Todd; Ekerdt, John, Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography.
  433. Wolf, Nicholas Adam; Jin, Danliang; Barklund, Anna; Dinu, Raluca, Methods for making and using SPR microarrays.
  434. Martin, Samuel; Duan, Xiangfeng; Fujii, Katsumasa; Hamilton, James M.; Iwata, Hiroshi; Leon, Francisco; Miller, Jeffrey; Negishi, Tetsu; Ohki, Hiroshi; Parce, J. Wallace; Pereira, Cheri X. Y.; Schuele, Paul John; Shibata, Akihide; Stumbo, David P.; Okada, Yasunobu, Methods for nanowire alignment and deposition.
  435. Martin, Samuel; Duan, Xiangfeng; Fujii, Katsumasa; Hamilton, James M.; Iwata, Hiroshi; Leon, Francisco; Miller, Jeffrey; Negishi, Tetsu; Ohki, Hiroshi; Parce, J. Wallace; Pereira, Cheri X. Y.; Schuele, Paul John; Shibata, Akihide; Stumbo, David P.; Okada, Yasunobu, Methods for nanowire alignment and deposition.
  436. Romano,Linda T.; Hamilton,James M., Methods for nanowire growth.
  437. Robbins, Virginia, Methods for oriented growth of nanowires on patterned substrates.
  438. Ingan?s, Olle; Nyberg, Tobias; Granlund, Tomas, Methods for patterning polymer films, and use of the methods.
  439. Bulthaup, Colin; Spindt, Chris, Methods for patterning using liquid embossing.
  440. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina, Methods for processing biological materials using peelable and resealable devices.
  441. Moran, Cristin E.; Radloff, Corey J.; Halas, Naomi J., Methods for producing submicron metal line and island arrays.
  442. Nathan, Michael J.; Singh, Rajendra; Cromer, Remy, Methods for solid phase nanoextraction and desorption.
  443. Jiang, Xingyu; Ferrigno, Rosaria; Whitesides, George M., Methods of alteration of surface affinities using non-chemical force-creating fields.
  444. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina, Methods of arraying biological materials using peelable and resealable devices.
  445. Bao, Lirong; Tan, Li; Huang, Xudong; Kong, Yen Peng; Guo, Lingjie Jay; Pang, Stella W.; Yee, Albert, Methods of creating patterns on substrates and articles of manufacture resulting therefrom.
  446. Cox, Marshall; Kim, LeeAnn; Breen, Craig; Anc, Maria J.; Coe-Sullivan, Seth; Kazlas, Peter T., Methods of depositing nanomaterial and methods of making a device.
  447. Duffy, David; Kirk, Gregory L.; Campbell, Stewart; Schueller, Olivier; Agosto, Melina, Methods of detecting immobilized biomolecules.
  448. Whitesides George M. ; Xia Younan ; Wilbur James L. ; Jackman Rebecca J. ; Kim Enoch ; Prentiss Mara G. ; Mrksich Milan ; Kumar Amit ; Gorman Christopher B. ; Biebuyck Hans,CHX ; Berggren Karl K., Methods of etching articles via microcontact printing.
  449. Whitesides, George M.; Xia, Younan; Wilbur, James L.; Jackman, Rebecca J.; Kim, Enoch; Prentiss, Mara G.; Mrksich, Milan; Kumar, Amit; Gorman, Christopher B.; Biebuyck, Hans; Berggren, Karl K., Methods of etching articles via microcontact printing.
  450. Millward, Dan B.; Quick, Timothy A., Methods of forming a nanostructured polymer material including block copolymer materials.
  451. Millward, Dan B., Methods of forming a stamp and a stamp.
  452. Millward, Dan B., Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure.
  453. Millward, Dan B.; Sills, Scott E., Methods of forming block copolymers, and block copolymer compositions.
  454. Hendricks, Nicholas; Olson, Adam L.; Brown, William R.; Eom, Ho Seop; Chen, Xue; Jain, Kaveri; Schuldenfrei, Scott, Methods of forming nanostructures including metal oxides.
  455. Sills, Scott E.; Millward, Dan B., Methods of forming semiconductor device structures.
  456. Millward, Dan B.; Quick, Timothy A.; Greeley, J. Neil, Methods of forming semiconductor device structures including metal oxide structures.
  457. Khurana, Ranjan; Lugani, Gurpreet S.; Millward, Dan B., Methods of forming semiconductor device structures, and related semiconductor device structures.
  458. Sills, Scott E.; Millward, Dan B., Methods of forming semiconductor device structures, and related structures.
  459. Regner, Jennifer Kahl, Methods of improving long range order in self-assembly of block copolymer films with ionic liquids.
  460. Regner, Jennifer Kahl, Methods of improving long range order in self-assembly of block copolymer films with ionic liquids.
  461. Regner, Jennifer Kahl, Methods of improving long range order in self-assembly of block copolymer films with ionic liquids and materials produced therefrom.
  462. Voisin,Ronald D., Methods of inspecting a lithography template.
  463. Link, Darren Roy; Weitz, David A.; Cristobal-Azkarate, Galder; Cheng, Zhengdong; Ahn, Keunho, Methods of introducing a fluid into droplets.
  464. Yang, Kaiyuan; Wei, Ning; Kaylor, Rosann; Chidebelu-Eze, Chibueze Obinna; Atanassov, Zdravko Savov, Methods of making assay devices utilizing hollow particles.
  465. Geaghan, Bernard O., Methods of making composite electrodes.
  466. Empedocles, Stephen; Bock, Larry; Chow, Calvin; Duan, Xianfeng; Niu, Chungming; Pontis, George; Sahi, Vijendra; Romano, Linda T.; Stumbo, David, Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices.
  467. Empedocles,Stephen; Bock,Larry; Chow,Calvin Y. H.; Duan,Xianfeng; Niu,Chunming; Pontis,George; Sahi,Vijendra; Romano,Linda T.; Stumbo,David, Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices.
  468. Voisin,Ronald D., Methods of manufacturing a lithography template.
  469. Duffy, David; Kirk, Gregory L.; Campbell, Stewart; Schueller, Olivier; Agosto, Melina, Methods of measuring enzyme activity using peelable and resealable devices.
  470. Zu, Lijun; Frey, Matthew H., Methods of patterning a conductor on a substrate.
  471. Zu, Lijun; Frey, Matthew H., Methods of patterning a conductor on a substrate.
  472. Zu, Lijun; Frey, Matthew H., Methods of patterning a conductor on a substrate.
  473. Frey, Matthew H.; Berniard, Tracie J.; Boehmer, Roxanne A., Methods of patterning a deposit metal on a substrate.
  474. Frey, Matthew H.; Nguyen, Khanh P., Methods of patterning a material on polymeric substrates.
  475. Marsh, Eugene P.; Millward, Dan B., Methods of patterning a substrate including multilayer antireflection coatings.
  476. Duan, Xiangfeng; Daniels, Hugh; Niu, Chunming; Sahi, Vijendra; Hamilton, James; Romano, Linda T., Methods of positioning and/or orienting nanostructures.
  477. Duan, Xiangfeng; Daniels, R. Hugh; Niu, Chunming; Sahi, Vijendra; Hamilton, James M.; Romano, Linda T., Methods of positioning and/or orienting nanostructures.
  478. Duan,Xiangfeng; Daniels,R. Hugh; Niu,Chunming; Sahi,Vijendra; Hamilton,James M.; Romano,Linda T., Methods of positioning and/or orienting nanostructures.
  479. Duan,Xiangfeng; Daniels,R. Hugh; Niu,Chunming; Sahi,Vijendra; Hamilton,James M.; Romano,Linda T., Methods of positioning and/or orienting nanostructures.
  480. Kaylor,Rosann Marie; Yang,Difei; Atanassov,Zdravko Savov; Knotts,Michael Eugene, Methods to view and analyze the results from diffraction-based diagnostics.
  481. Cok, Ronald Steven; Trauernicht, David Paul, Micro-channel connection method.
  482. Hoeffler, James P.; Fernandez, Joseph M.; Nasoff, Marc S., Microarray and uses therefor.
  483. Hoeffler, James P.; Fernandez, Joseph M.; Nasoff, Marc S., Microarrays and uses therefor.
  484. Hoeffler, James; Fernandez, Joseph; Nasoff, Marc, Microarrays and uses therefor.
  485. Kendale, Amar Maruti; Trumper, David L., Microcontact printing.
  486. Kendale,Amar Maruti; Trumper,David L., Microcontact printing.
  487. Hidber Pirmin,CHX ; Helbig Wolfgang,DEX ; Kim Enoch ; Whitesides George M., Microcontact printing of catalytic colloids.
  488. Whitesides George M. ; Xia Younan ; Wilbur James L. ; Jackman Rebecca J. ; Kim Enoch ; Prentiss Mara G. ; Mrksich Milan ; Kumar Amit ; Gorman Christopher B. ; Biebuyck Hans,CHX ; Berggren Karl K., Microcontact printing on surfaces and derivative articles.
  489. Tarnowski, Catherine P.; Johnson, David A.; Barton, Roger W.; Johnson, Larry L.; O'Hare, Jonathan J.; Rattray, Tyler J.; Lentz, Daniel M.; Tokie, Jeffrey H.; Frey, Matthew H.; Pekurovsky, Mikhail L., Microcontact printing stamps with functional features.
  490. Pekurovsky, Mikhail L.; Pekurovsky, Lyudmila A.; Frey, Matthew H.; Meehan, Daniel P.; O'Hare, Jonathan J., Microcontact printing with high relief stamps in a roll-to-roll process.
  491. Wagner, Peter; Ault-Riche, Dana; Nock, Steffen; Itin, Christian, Microdevices for high-throughput screening of biomolecules.
  492. Wagner, Peter; Ault-Riche, Dana; Nock, Steffen; Itin, Christian, Microdevices for screening biomolecules.
  493. Wagner, Peter; Ault-Riche, Dana; Nock, Steffen; Itin, Christian, Microdevices for screening biomolecules.
  494. Spence,Charles F.; Fu,Anne Y.; Quake,Stephen R.; Arnold,Frances H., Microfabricated cell sorter.
  495. Spence, Charles F.; Fu, Anne Y.; Quake, Stephen R.; Arnold, Frances H., Microfabricated cell sorter for chemical and biological materials.
  496. Moon, James E.; Davis, Timothy J.; Galvin, Gregory J.; Schultz, Gary A.; Corso, Thomas N.; Lowes, Stephen, Microfabricated electrospray device.
  497. Maerkl, Sebastian J.; Thorsen, Todd A.; Bao, Xiaoyan; Quake, Stephen R.; Studer, Vincent, Microfabricated structure having parallel and orthogonal flow channels controlled by row and column multiplexors.
  498. Frey, Matthew H.; Nguyen, Khanh P., Microfabrication using patterned topography and self-assembled monolayers.
  499. Frey,Matthew H.; Nguyen,Khanh P., Microfabrication using patterned topography and self-assembled monolayers.
  500. Nguyen, Khanh P.; Frey, Matthew H.; Zhang, Haiyan; Zhang, Jun-Ying, Microfabrication using replicated patterned topography and self-assembled monolayers.
  501. Lee, Michael; Worthington, Gajus; Harris, Gregory; Montgomery, James, Microfluidic design automation method and system.
  502. McBride, Lincoln; Lucero, Michael; Unger, Marc; Nassef, Hany Ramez; Facer, Geoffrey, Microfluidic device and methods of using same.
  503. McBride, Lincoln; Lucero, Michael; Unger, Marc; Nassef, Hany Ramez; Facer, Geoffrey, Microfluidic device and methods of using same.
  504. McBride, Lincoln; Lucero, Michael; Unger, Marc; Nassef, Hany Ramez; Facer, Geoffrey; Yi, Yong, Microfluidic device and methods of using same.
  505. Unger, Marc; Manger, Ian D.; Lucero, Michael; Yi, Yong; Miyashita-Lin, Emily; Wienecke, Anja; Facer, Geoffrey, Microfluidic device and methods of using same.
  506. Unger, Marc; Manger, Ian D.; Lucero, Michael; Yi, Yong; Miyashita-Lin, Emily; Wienecke, Anja; Facer, Geoffrey, Microfluidic device and methods of using same.
  507. Unger,Marc; Manger,Ian D.; Lucero,Michael; Yi,Yong; Miyashita Lin,Emily; Weinecke,Anja; Facer,Geoffrey, Microfluidic device and methods of using same.
  508. Unger, Marc; Manger, Ian D.; Lucero, Michael; Yi, Yong; Miyashita-Lin, Emily; Wienecke, Anja; Facer, Geoffrey, Microfluidic device with reaction sites configured for blind filling.
  509. McReynolds,Richard J.; Chazan,David, Microfluidic devices and methods of their manufacture.
  510. Link, Darren R.; Boitard, Laurent; Branciforte, Jeffrey; Charles, Yves; Feke, Gilbert; Lu, John Q.; Marran, David; Tabatabai, Ahmadali; Weiner, Michael; Hinz, Wolfgang; Rothberg, Jonathan M., Microfluidic devices and methods of use in the formation and control of nanoreactors.
  511. Link, Darren R.; Boitard, Laurent; Branciforte, Jeffrey; Charles, Yves; Feke, Gilbert; Lu, John Q.; Marran, David; Tabatabai, Ahmadali; Weiner, Michael; Hinz, Wolfgang; Rothberg, Jonathan M., Microfluidic devices and methods of use in the formation and control of nanoreactors.
  512. Link, Darren; Boitard, Laurent; Branciforte, Jeffrey; Charles, Yves; Feke, Gilbert; Lu, John Q.; Marran, David; Tabatabai, Ahmadali; Weiner, Michael; Hinz, Wolfgang; Rothberg, Jonathan M., Microfluidic devices and methods of use in the formation and control of nanoreactors.
  513. McBride, Lincoln; Unger, Marc; Lucero, Michael; Nassef, Hany Ramez; Facer, Geoff; Yi, Yong, Microfluidic devices and methods of using same.
  514. Unger, Marc; Huang, Jiang; Quan, Emerson, Microfluidic devices and methods of using same.
  515. Unger,Marc; Huang,Jiang; Quan,Emerson, Microfluidic devices and methods of using same.
  516. Dubrow, Robert S.; Kennedy, Colin B.; Nagle, Robert; Chazan, David; Lee, Ernest C. W.; Gandhi, Khushroo; Chow, Calvin Y. H., Microfluidic devices and systems incorporating cover layers.
  517. Hong, Jong Wook; Studer, Vincent; Anderson, W. French; Quake, Stephen R.; Leadbetter, Jared, Microfluidic nucleic acid analysis.
  518. Hong, Jong Wook; Studer, Vincent; Anderson, W. French; Quake, Stephen R.; Leadbetter, Jared, Microfluidic nucleic acid analysis.
  519. Chou,Hou Pu; Daridon,Antoine; Farrell,Kevin; Fowler,Brian; Liau,Yish Hann; Manger,Ian D.; Nassef,Hany Ramez; Throndset,William, Microfluidic particle-analysis systems.
  520. Chou,Hou Pu; Daridon,Antoine; Farrell,Kevin; Fowler,Brian; Liau,Yish Hann; Manger,Ian D.; Nassef,Hany Ramez; Throndset,William, Microfluidic particle-analysis systems.
  521. Daridon, Antoine, Microfluidic particle-analysis systems.
  522. Daridon, Antoine, Microfluidic particle-analysis systems.
  523. Liu,Jian; Hansen,Carl L.; Quake,Stephen R., Microfluidic rotary flow reactor matrix.
  524. Dubrow,Robert S.; Greenstein,Michael; Bousse,Luc J.; Gandhi,Khushroo, Microfluidic sample delivery devices, systems, and methods.
  525. Yang, Zhihao; Hawkins, Gilbert A., Microfluidic system for controlled fluid mixing and delivery.
  526. Anderson, Janelle R.; Cherniavskaya, Oksana; Chiu, Daniel T.; Jackman, Rebecca J.; McDonald, Cooper; Whitesides, George M., Microfluidic systems including three-dimensionally arrayed channel networks.
  527. Anderson,Janelle R.; Chiu,Daniel T.; Jackman,Rebecca J.; Cherniavskaya,Oksana; McDonald,Justin Cooper; Whitesides,George M., Microfluidic systems including three-dimensionally arrayed channel networks.
  528. Manger,Ian David; Hao,Cunsheng Casey; Unger,Marc Alexander, Microfluidic-based electrospray source for analytical devices with a rotary fluid flow channel for sample preparation.
  529. Wu,Ming Hsien; Paul,Kateri E.; Whitesides,George M., Microlens for projection lithography and method of preparation thereof.
  530. Wu,Ming Hsien; Whitesides,George M.; Paul,Kateri E., Microlens for projection lithography and method of preparation thereof.
  531. Karger Barry L. ; Foret Frantisek ; Zavracky Paul M. ; McGruer E. Nicol ; Xue Qifeng ; Dunayevskiy Yuriy M., Microscale fluid handling system.
  532. Ashutosh Chilkoti ; Zhongping Yang, Microstamping activated polymer surfaces.
  533. Chilkoti,Ashutosh; Yang,Zhongping; Hyun,Jinho, Microstamping activated polymer surfaces.
  534. Murphy, William L.; Mooney, David J.; Kohn, David H.; Spalding, Gabriel C.; Dearing, Matthew T., Mineral and cellular patterning on biomaterial surfaces.
  535. Murphy, William L.; Peters, Martin C.; Mooney, David J., Mineralization and biological modification of biomaterial surfaces.
  536. Murphy, William L.; Peters, Martin C.; Mooney, David J.; Kohn, David H., Mineralization and biological modification of biomaterial surfaces.
  537. Murphy, William L.; Peters, Martin C.; Mooney, David J.; Kohn, David H., Mineralization and biological modification of biomaterial surfaces.
  538. Kapur, Ravi; Adams, Terri, Miniaturized cell array methods and apparatus for cell-based screening.
  539. McMackin,Ian M.; Lad,Pankaj B., Moat system for an imprint lithography template.
  540. Ling, Torbj?rn; Montelius, Lars; Heidari, Babak, Mold for nano imprinting.
  541. Ling, Torbjörn; Montelius, Lars; Keil, Matthias; Beck, Marc, Mold for nano imprinting.
  542. Kim, Enoch; Xia, Younan; Mrksich, Milan; Jackman, Rebecca J.; Zhao, Xiao-Mei; Smith, Stephen P.; Marzolin, Christian; Prentiss, Mara G.; Whitesides, George M., Molded waveguides.
  543. Kim, Enoch; Xia, Younan; Mrksich, Milan; Jackman, Rebecca J.; Zhao, Xiao-Mei; Smith, Stephen P.; Prentiss, Mara G.; Whitesides, George M.; Marzolin, Christian, Molded waveguides.
  544. Bamdad Cynthia C. (Sharon MA) Sigal George B. (Arlington MA) Strominger Jack L. (Lexington MA) Whitesides George M. (Newton MA), Molecular recognition at surfaces derivatized with self-assembled monolayers.
  545. Bamdad Cynthia C. ; Sigal George B. ; Strominger Jack L. ; Whitesides George M., Molecular recognition at surfaces derivatized with self-assembled monolayers.
  546. Bamdad Cynthia C. ; Sigal George B. ; Strominger Jack L. ; Whitesides George M., Molecular recognition at surfaces derivatized with self-assembled monolayers.
  547. Bamdad, Cynthia C.; Sigal, George B.; Strominger, Jack L.; Whitesides, George M., Molecular recognition at surfaces derivatized with self-assembled monolayers.
  548. Cynthia C. Bamdad ; George B. Sigal ; Jack L. Strominger ; George M. Whitesides, Molecular recognition at surfaces derivatized with self-assembled monolayers.
  549. Kuhr,Werner G.; Bocian,David F.; Liu,Zhiming; Yasseri,Amir, Molehole embedded 3-D crossbar architecture used in electrochemical molecular memory device.
  550. Marsh, Eugene P.; Millward, Dan B., Multilayer antireflection coatings, structures and devices including the same and methods of making the same.
  551. Hammond Cunningham,Paula T.; Park,Juhyun, Multilayer transfer patterning using polymer-on-polymer stamping.
  552. Kim, Enoch; Duffy, David, Multiple array system for integrating bioarrays.
  553. Schultz, Gary A.; Corso, Thomas N.; Prosser, Simon J., Multiple electrospray device, systems and methods.
  554. Schultz, Gary A.; Corso, Thomas N.; Prosser, Simon J., Multiple electrospray device, systems and methods.
  555. Weitz, David A.; Kim, Shin-Hyn; Abbaspourrad, Alireza, Multiple emulsions and techniques for the formation of multiple emulsions.
  556. True, Randall, Multiple step printing methods for microbarcodes.
  557. Chee, Mark S.; Stuelpnagel, John R.; Czarnik, Anthony W., Multiplex decoding of array sensors with microspheres.
  558. Chee, Mark S.; Stuelpnagel, John R.; Czarnik, Anthony W., Multiplex decoding of array sensors with microspheres.
  559. Chee,Mark S.; Stuelpnagel,John R.; Czarnik,Anthony W., Multiplex decoding of array sensors with microspheres.
  560. Stellacci, Francesco; Yu, Arum Amy, Nanocontact printing.
  561. Lieber,Charles M.; Duan,Xiangfeng; Huang,Yu; Agarwal,Ritesh, Nanoscale coherent optical components.
  562. Lieber, Charles M.; Patolsky, Fernando; Zheng, Gengfeng, Nanoscale sensors.
  563. Lieber, Charles M.; Wu, Yue; Yan, Hao, Nanoscale wire-based data storage.
  564. Lieber,Charles M.; Duan,Xiangfeng; Cui,Yi; Huang,Yu; Gudiksen,Mark; Lauhon,Lincoln J.; Wang,Jianfang; Park,Hongkun; Wei,Qingqiao; Liang,Wenjie; Smith,David C.; Wang,Deli; Zhong,Zhaohui, Nanoscale wires and related devices.
  565. Lieber, Charles M.; Park, Hongkun; Wei, Qingqiao; Cui, Yi; Liang, Wenjie, Nanosensors.
  566. Lieber, Charles M.; Park, Hongkun; Wei, Qingqiao; Cui, Yi; Liang, Wenjie, Nanosensors.
  567. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenji, Nanosensors.
  568. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenjie, Nanosensors.
  569. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenjie, Nanosensors.
  570. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  571. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  572. Lu, Wei; Xiang, Jie; Wu, Yue; Timko, Brian P.; Yan, Hao; Lieber, Charles M., Nanowire heterostructures.
  573. Wagner, Peter; Kernen, Peter; Lu, Hongbo; Tran, Huu, Non-specific binding resistant protein arrays and methods for making the same.
  574. Enzelberger, Markus M.; Hansen, Carl L.; Liu, Jian; Quake, Stephen R.; Ma, Chiem, Nucleic acid amplification using microfluidic devices.
  575. Enzelberger, Markus M.; Hansen, Carl L.; Liu, Jian; Quake, Stephen R.; Ma, Chiem, Nucleic acid amplification using microfluidic devices.
  576. Enzelberger, Markus M.; Liu, Jian; Quake, Stephen R., Nucleic acid amplification using microfluidic devices.
  577. Hammond, Paula T.; Lee, Jong Bum; Roh, Young Hoon, Nucleic acid particles, methods and use thereof.
  578. Millward, Dan B.; Stuen, Karl, One-dimensional arrays of block copolymer cylinders and applications thereof.
  579. Song, Xuedong; Boga, RameshBabu; Chidebelu Eze, Chibueze Obi, One-step enzymatic and amine detection technique.
  580. Cohen, David Samuel; Song, Xuedong; Knotts, Michael; Feaster, Shawn Ray; Atanassov, Zdravko Savov, Optical detection system using electromagnetic radiation to detect presence or quantity of analyte.
  581. Bamdad, Cynthia C., Optical devices and methods involving nanoparticles.
  582. Dennis S. Everhart ; Mark L. Jones ; Rosann Marie Kaylor, Optical diffraction biosensor.
  583. sukhani, Optical diffraction biosensor.
  584. Snee, Preston T.; Chan, Yin Thai; Nocera, Daniel G.; Bawendi, Moungi G., Optical feedback structures and methods of making.
  585. Ishizaki,Mamoru; Hara,Hatsune; Sasaki,Jun; Inoue,Shinichi; Tsukamoto,Takehito, Optical waveguide and method of manufacturing the same.
  586. Ishizaki,Mamoru; Hara,Hatsune; Sasaki,Jun; Inoue,Shinichi; Tsukamoto,Takehito, Optical waveguide and method of manufacturing the same.
  587. Baldo, Marc; Peumans, Peter; Forrest, Stephan; Kim, Changsoon, Organic triodes with novel grid structures and method of production.
  588. Baldo,Marc; Peumans,Peter; Forrest,Stephen; Kim,Changsoon, Organic triodes with novel grid structures and method of production.
  589. Ootake,Tadashi; Takebe,Yasuo; Mino,Norihisa; Takezawa,Hiroaki, Organic ultra-thin film.
  590. Weitz, David A.; Abate, Adam R., Particle-assisted nucleic acid sequencing.
  591. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul; Hindson, Christopher; Masquelier, Donald; Jarosz, Mirna; Schnall-Levin, Michael, Partitioning and processing of analytes and other species.
  592. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul; Hindson, Christopher; Masquelier, Donald; Jarosz, Mirna; Schnall-Levin, Michael, Partitioning and processing of analytes and other species.
  593. Hindson, Benjamin; Saxonov, Serge; Ness, Kevin; Hardenbol, Paul; Hindson, Christopher; Masquelier, Donald; Jarosz, Mirna; Schnall-Levin, Michael, Partitioning and processing of analytes and other species.
  594. Sreenivasan, Sidlgata V., Pattern reversal employing thick residual layers.
  595. Everhart Dennis S. ; Kaylor Rosann M. ; McGrath Kevin, Patterned binding of functionalized microspheres for optical diffraction-based biosensors.
  596. Everhart, Dennis S.; Kaylor, Rosann M.; McGrath, Kevin, Patterned binding of functionalized microspheres for optical diffraction-based biosensors.
  597. Peeters, Emiel; Penterman, Roel; Kurt, Ralph; Broer, Dirk Jan Wilfred, Patterned cell sheets and a method for production of the same.
  598. McGrath, Kevin; Kaylor, Rosann M.; Everhart, Dennis S., Patterned deposition of antibody binding protein for optical diffraction-based biosensors.
  599. McNeil,Michael; Vicars,John; Wachenschwanz,David, Patterned medium and recording head.
  600. Wachenschwanz,David; McNeil,Michael; Vicars,John, Patterned medium and recording head.
  601. Busnaina, Ahmed A.; Mead, Joey L.; Barry, Carol M. F.; Wei, Ming, Patterned nanosubstrates made by directed self assembly of amphiphilic molecules.
  602. Sreenivasan, Sidlgata V.; Schumaker, Philip D., Patterning a plurality of fields on a substrate to compensate for differing evaporation times.
  603. Ryan, Declan; Amir-Parviz, Babak; Linder, Vincent; Semetey, Vincent; Sia, Samuel K.; Whitesides, George M., Patterning and alteration of molecules.
  604. Gomez, Marcos; Erk, Peter; Richter, Frauke; Bao, Zhenan; Liu, Shuhong, Patterning crystalline compounds on surfaces.
  605. Anderson, Janelle R.; Chiu, Daniel T.; Jeon, Noo-Li; Huang, Sui; Kane, Ravi; Choi, Insung S.; Ingber, Donald E.; Whitesides, George M., Patterning of surfaces utilizing microfluidic stamps including three-dimensionally arrayed channel networks.
  606. Anderson,Janelle R.; Chiu,Daniel T.; Jeon,Noo Li; Huang,Sui; Kane,Ravindra; Choi,Insung S.; Ingber,Donald E.; Whitesides,George M., Patterning of surfaces utilizing microfluidic stamps including three-dimensionally arrayed channel networks.
  607. Zu, Lijun; Frey, Matthew H.; Iyer, Suresh S., Patterning process.
  608. Kagan, Cherie R.; Breen, Tricia Lynn; Kosbar, Laura Louise, Patterning solution deposited thin films with self-assembled monolayers.
  609. Kagan,Cherie R; Carmichael,Tricia Breen; Kosbar,Laura Louise, Patterning solution deposited thin films with self-assembled monolayers.
  610. Stacey,Nicholas A.; Sreenivasan,Sidlgata V.; Miller,Michael N., Patterning substrates employing multi-film layers defining etch-differential interfaces.
  611. Choi, Byung-Jin; Sreenivasan, Sidlgata V., Patterning substrates employing multiple chucks.
  612. Duffy,David; Kirk,Gregory; Campbell,Stewart; Schueller,Olivier; Agosto,Melina; Kim,Enoch, Peelable and resealable devices for arraying materials.
  613. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina Amber; Kim,Enoch, Peelable and resealable devices for biochemical assays.
  614. Wachenschwanz, David E.; Bertero, Gerardo A.; Treves, David; Homola, Andrew; Chao, James L.; Bajorek, Christopher H., Perpendicular magnetic discrete track recording disk.
  615. Wachenschwanz,David E.; Bertero,Gerardo A.; Treves,David; Homola,Andrew; Chao,James L.; Bajorek,Christopher H., Perpendicular magnetic discrete track recording disk.
  616. Wachenschwanz, David E.; Bertero, Gerardo A.; Treves, David; Homola, Andrew; Chao, James L.; Bajorek, Christopher H., Perpendicular magnetic recording disk with a soft magnetic layer having a discrete track recording pattern.
  617. Popp, Shane M., Pharmaceutical dosage forms fabricated with nanomaterials.
  618. Mirkin, Chad A.; Salaita, Khalid, Phase separation in patterned structures.
  619. DeSimone, Joseph M.; Rolland, Jason P.; Quake, Stephen R.; Schorzman, Derek A.; Yarbrough, Jason; Van Dam, Michael, Photocurable perfluoropolyethers for use as novel materials in microfluidic devices.
  620. Mayer, Steven T.; Drewery, John Stephen; Webb, Eric G., Photoresist-free metal deposition.
  621. Josse Fabien J. ; Everhart Dennis S., Piezoelectric resonator chemical sensing device.
  622. Goodner, Michael D.; Kloster, Grant; Johnston, Steven W., Plating a conductive material on a dielectric material.
  623. Basol, Bulent M., Plating methods for low aspect ratio cavities.
  624. Uhrich, Kathryn E., Polyanhydrides with therapeutically useful degradation products.
  625. Uhrich, Kathryn E., Polyanhydrides with therapeutically useful degradation products.
  626. Uhrich,Kathryn E., Polyanhydrides with therapeutically useful degradation products.
  627. Song, Xuedong; Wei, Ning; Sayre, Curt, Polyelectrolytic internal calibration system of a flow-through assay.
  628. Uhrich, Kathryn E.; Rosario-Melendez, Roselin, Polyesters and methods of use thereof.
  629. Everhart Dennis S., Polymer films having a printed self-assembling monolayer.
  630. Kim, Enoch, Polymer gel contact masks and methods and molds for making same.
  631. Boussie, Thomas R.; Devenney, Martin, Polymer libraries on a substrate.
  632. Boussie, Thomas R.; Devenney, Martin, Polymer libraries on a substrate, method of forming polymer libraries on a substrate and characterization methods with same.
  633. Millward, Dan B., Polymer materials for formation of registered arrays of cylindrical pores.
  634. Millward, Dan B.; Westmoreland, Donald L., Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials.
  635. Millward, Dan B.; Westmoreland, Donald L., Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials.
  636. Uhrich, Kathryn E.; Snyder, Sabrina S., Polymers and methods thereof for wound healing.
  637. Hindson, Benjamin; Jarosz, Mirna; Hardenbol, Paul; Schnall-Levin, Michael; Ness, Kevin; Saxonov, Serge, Polynucleotide barcode generation.
  638. Dubrow, Robert S.; Niu, Chunming, Porous substrates, articles, systems and compositions comprising nanofibers and methods of their use and production.
  639. Dubrow, Robert; Casillas, Carlos Guillermo; Freeman, William P.; Goldman, Jay L.; Hardev, Veeral Dilip; Leon, Francisco; Niu, Chunming; Pereira, Cheri X. Y., Porous substrates, articles, systems and compositions comprising nanofibers and methods of their use and production.
  640. Frey, Matthew H.; Kieschke, Robert R.; Weaver, Billy L., Positional touch sensor with force measurement.
  641. Sreenivasan,Sidlgata V., Positive tone bi-layer imprint lithography method.
  642. Treves, David; Dorsey, Paul C., Press system for nano-imprinting of recording media with a two step pressing method.
  643. Treves, David; Dorsey, Paul C., Press system with embossing foil free to expand for nano-imprinting of recording media.
  644. Treves, David; Dorsey, Paul C., Press system with embossing foil free to expand for nano-imprinting of recording media.
  645. Treves, David; Dorsey, Paul C.; Siu, Calvin Tue Chiu, Press system with interleaved embossing foil holders for nano-imprinting of recording media.
  646. Treves, David; Dorsey, Paul C.; Siu, Calvin Tue Chiu, Press system with interleaved embossing foil holders for nano-imprinting of recording media.
  647. Simon, Klaus, Printing apparatus and device manufacturing method.
  648. Simon, Klaus, Printing apparatus and device manufacturing method.
  649. Tanaka, Masanobu; Kamei, Takahiro, Printing method and display apparatus manufacturing method.
  650. Menard, Etienne, Printing semiconductor elements by shear-assisted elastomeric stamp transfer.
  651. Uhrich, Kathryn E.; Faig, Jonathan J.; Smith, Kervin, Process and intermediates for preparing poly(anhydride-esters).
  652. Maracas George N. ; Dworsky Lawrence N. ; Goronkin Herbert ; Tobin Kathleen, Process for manufacturing a field-emission device.
  653. Akutsu, Eiichi; Ohtsu, Shigemi; Shimizu, Keishi; Yatsuda, Kazutoshi, Process for producing polymer optical waveguide.
  654. Sluka Peter,DEX ; Knoll Wolfgang,DEX ; Zizlsperger Manfred,DEX, Process for the application of reagent spots.
  655. Birch William,FRX ; Carre Alain Robert Emile,FRX, Process for the production of a coating of molecular thickness on a substrate.
  656. Hahn, Jong Hoon; Park, Yong Min; Kim, Young Chan; Shim, Bong Chu, Process-for forming metal micro-patterns on plastic substrate.
  657. Hardenbol, Paul; Patel, Pranav; Hindson, Benjamin; Wyatt, Paul William; Bjornson, Keith; Wu, Indira; Belhocine, Kamila, Processes and systems for preparation of nucleic acid sequencing libraries and libraries prepared using same.
  658. Kim, Seok Min; Seok, Jong Won; Kim, Tae Hyoung; Ju, Jong Hyun, Production method for glassy carbon mold.
  659. Peter Wagner ; Dana Ault-Riche ; Steffen Nock ; Christian Itin, Protein arrays for high-throughput screening.
  660. Rattray, Tyler J.; Pekurovsky, Mikhail L.; Pekurovsky, Lyudmila A.; Frey, Matthew H.; Meehan, Daniel P.; O'Hare, Jonathan J.; Greger, Richard W., Re-inking roller for microcontact printing in a roll-to-roll process.
  661. Kaylor, Rosann; Yang, Difei; Knotts, Michael, Reading device, method, and system for conducting lateral flow assays.
  662. Wei, Ning; Huang, Yanbin; Yang, Kaiyuan, Reduction of the hook effect in assay devices.
  663. Wei, Ning; Huang, Yanbin, Reduction of the hook effect in membrane-based assay devices.
  664. Wei,Ning; Huang,Yanbin, Reduction of the hook effect in membrane-based assay devices.
  665. Millward, Dan B.; Marsh, Eugene P., Registered structure formation via the application of directed thermal energy to diblock copolymer films.
  666. Millward, Dan B.; Marsh, Eugene P., Registered structure formation via the application of directed thermal energy to diblock copolymer films.
  667. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Johnson,Stephen C., Remote center compliant flexure device.
  668. Nick J. Visovsky ; David D. Wang, Replicating a nanoscale pattern.
  669. Jones, Christopher Ellis; Khusnatdinov, Niyaz; Johnson, Stephen C.; Schumaker, Philip D.; Lad, Pankaj B., Residual layer thickness measurement and correction.
  670. Crawley,Ed; Lundstrom,Mark; Masters,Brett; Srivastava,Alok; Schmidt,Martin; Miller,Michael, Resonant sensor and sensing system.
  671. Arenas, Jaime E.; Choi, Hyun-Goo; Dickerson, William Matthew; Hembree, Sarah Beth; Madison, Lara Louise; Masters, Brett P.; Miller, Michael F.; Wang, Wayne U., Reusable detection surfaces and methods of using same.
  672. Austin, Robert H.; Carlson, Robert H., Reversibly sealable microstructure sorting devices.
  673. Kim, Gibum; Guan, Hannwen; Cong, Shuxin, SPR apparatus with a high performance fluid delivery system.
  674. Kim, Gibum; Guan, Hannwen; Cong, Shuxin, SPR apparatus with a high performance fluid delivery system.
  675. Kim, Gilbum; Guan, Hannwen; Cong, Shuxin, SPR apparatus with a high performance fluid delivery system.
  676. Kim, Gilbum; Guan, Hannwen; Cong, Shuxin, SPR apparatus with a high performance fluid delivery system.
  677. Link, Darren, Sample multiplexing.
  678. Samuels, Michael; Link, Darren Roy, Sandwich assays in droplets.
  679. Griffiths, Andrew David; Abell, Chris; Hollfelder, Florian; Mastrobattista, Enrico, Selection by compartmentalised screening.
  680. Griffiths, Andrew David; Abell, Chris; Hollfelder, Florian; Mastrobattista, Enrico, Selection by compartmentalised screening.
  681. Link, Darren R.; Weiner, Michael; Marran, David; Rothberg, Jonathan M., Selection of compartmentalized screening method.
  682. Vaeth Kathleen M. ; Jensen Klavs F., Selective chemical vapor deposition of polymers.
  683. Sharma, Ajay K.; King, Sean; Hanken, Dennis; Ott, Andrew W., Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal.
  684. Ostuni,Emanuele; Chen,Christopher S.; Ingber,Donald E.; Whitesides,George M., Selective deposition of materials on countoured surfaces.
  685. Hammond, Paula T.; Macdonald, Mara L., Self assembled films for protein and drug delivery applications.
  686. Schmid, Gerard M.; Stacey, Nicholas A; Resnick, Douglas J.; Voisin, Ronald D.; Myron, Lawrence J., Self-aligned process for fabricating imprint templates containing variously etched features.
  687. Gracias, David H.; Tien, Joe; Whitesides, George M., Self-assembled electrical networks.
  688. Gracias,David H.; Tien,Joe; Whitesides,George M., Self-assembled electrical networks.
  689. Clem, Paul G.; Jeon, Noo-Li; Mrksich, Milan; Nuzzo, Ralph G.; Payne, David A.; Whitesides, George M.; Xia, Younan, Self-assembled monolayer directed patterning of surfaces.
  690. Hendricks, Nicholas; Olson, Adam L.; Brown, William R.; Eom, Ho Seop; Chen, Xue; Jain, Kaveri; Schuldenfrei, Scott, Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof.
  691. Shuguang Zhang ; Alexander Rich ; Lin Yan ; George Whitesides, Self-assembling peptide surfaces for cell patterning and interactions.
  692. Song,Xuedong; Kaylor,Rosann, Self-calibration system for a magnetic binding assay.
  693. Guan,Jingjiao; Hansford,Derek J.; Lee,L. James, Self-folding polymer microparticles.
  694. Millward, Dan B.; Quick, Timothy A.; Greeley, J. Neil, Semiconductor device structures including metal oxide structures.
  695. Millward, Dan B.; Quick, Timothy A.; Greeley, J. Neil, Semiconductor structures including polymer material permeated with metal oxide.
  696. Galas, Jean-Christophe; Roy, Emmanuel; Veres, Teodor, Semipermanently closed microfluidic valve.
  697. Sharma,Manish, Sensor array using sail.
  698. Lin, Shiming; Lee, Si-Chen; Chang, Luan-Yin, Sensor for detection of a target of interest.
  699. Corso, Thomas N.; Schultz, Gary A.; Prosser, Simon J.; Huang, Xian, Separation media, multiple electrospray nozzle system and method.
  700. Corso, Thomas N.; Schultz, Gary A.; Prosser, Simon J.; Huang, Xian, Separation media, multiple electrospray nozzle system and method.
  701. Xu, Frank Y.; Khusnatdinov, Niyaz, Single phase fluid imprint lithography method.
  702. Uhrich, Kathryn E.; Rosario-Meléndez, Roselin, Slow-degrading polymers comprising salicylic acid for undelayed and sustained drug delivery.
  703. Berniard, Tracie J.; Meehan, Daniel P.; Frey, Matthew H.; Pekurovsky, Mikhail L., Solvent assisted method of microcontact printing.
  704. Biebuyck Hans Andre,CHX ; Michel Bruno,CHX, Stamp for a lithographic process.
  705. Blees,Martin Hillebrand, Stamp for use in a lithographic process, method of manufacturing a stamp, and method of manufacturing a patterned layer on a substrate.
  706. Heidari,Babak; Ling,Torbj?rn, Stamp having an antisticking layer and a method of forming of repairing such a stamp.
  707. Millward, Dan B.; Sandhu, Gurtej S., Stamps and methods of forming a pattern on a substrate.
  708. Willson, Carlton Grant; Colburn, Matthew Earl, Step and flash imprint lithography.
  709. Sreenivasan, Sidlgata V.; Choi, Byung J.; Schumaker, Norman E.; Voisin, Ronald D.; Watts, Michael P. C.; Meissl, Mario J., Step and repeat imprint lithography processes.
  710. Sreenivasan,Sidlgata V.; Choi,Byung J.; Schumaker,Norman E.; Voisin,Ronald D.; Watts,Michael P. C.; Meissl,Mario J., Step and repeat imprint lithography processes.
  711. Wagner,Sigurd; Lacour,Stephanie Perichon; Suo,Zhigang, Stretchable and elastic interconnects.
  712. Lee, Kwangyeol, Structure fabrication using nanoparticles.
  713. Millward, Dan B., Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers.
  714. Millward, Dan B., Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers.
  715. Millward, Dan B., Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers.
  716. Hattori, Hideshi; Kuroda, Masatoshi, Substrate for cell transfer.
  717. Hawker, Craig Jon; Hedrick, James Lupton; Abbott, Nicholas, Substrates prepared by chemical amplification of self-assembled monolayers with spatially localized polymer brushes.
  718. Guan, Hann-Wen; Cong, Shuxin, Surface plasmon resonance spectrometer with an actuator driven angle scanning mechanism.
  719. Amontov, Sergey; Delamarche, Emmanuel; Michel, Bruno, Surface treatment.
  720. Babcock, Brian, Surface-energy gradient on a fluid-impervious surface and method of its creation using a mixed monolayer film.
  721. Chapman,Robert G.; Ostuni,Emanuele; Liang,Michael N.; Yan,Lin; Whitesides,George M., Surfaces that resist the adsorption of biological species.
  722. Chapman,Robert G.; Ostuni,Emanuele; Liang,Michael N.; Yan,Lin; Whitesides,George M., Surfaces that resist the adsorption of biological species.
  723. Abate, Adam R.; Krummel, Amber T.; Holtze, Christian; Weitz, David A., Surfaces, including microfluidic channels, with controlled wetting properties.
  724. Lahann, Joerg; Mitragotri, Samir S.; Langer, Robert S., Switchable surfaces.
  725. Lahann,Joerg; Mitragotri,Samir S.; Langer,Robert S., Switchable surfaces.
  726. Verdine,Gregory L.; Chytil,Milan; Didiuk,Mary T.; Malinky,Tiffany, Synthesis of compounds and libraries of compounds.
  727. Harchanko, John S.; Banish, Michele, System and method for analog replication of microdevices having a desired surface contour.
  728. Schueller,Olivier J. A.; Kendale,Amar, System and process for automated microcontact printing.
  729. Krivokapic, Zoran, System for and method of forming local interconnect using microcontact printing.
  730. McMackin,Ian M.; Stacey,Nicholas A.; Babbs,Daniel A.; Voth,Duane J.; Watts,Mathew P. C.; Truskett,Van N.; Xu,Frank Y.; Voisin,Ronald D.; Lad,Pankaj B., System for creating a turbulent flow of fluid between a mold and a substrate.
  731. Choi,Byung J.; Sreenivasan,Sidlgata V., System for determining characteristics of substrates employing fluid geometries.
  732. Kim,Enoch; Kirk,Gregory L.; Schueller,Olivier; Ostuni,Emanuele, System for monitoring cell motility in real-time.
  733. Schumaker, Philip D.; Fancello, Angelo; Kim, Jae H.; Choi, Byung-Jin; Babbs, Daniel A., System to transfer a template transfer body between a motion stage and a docking plate.
  734. Derda, Ratmir; Tang, Sindy K. Y.; Whitesides, George M., Systems and methods for amplification and phage display.
  735. Lemmi, Francesco; Stumbo, David P., Systems and methods for harvesting and integrating nanowires.
  736. Romano,Linda T.; Chen,Jian; Duan,Xiangfeng; Dubrow,Robert S.; Empedocles,Stephen A.; Goldman,Jay L.; Hamilton,James M.; Heald,David L.; Lemmi,Francesco; Niu,Chunming; Pan,Yaoling; Pontis,George; Sahi,Vijendra; Scher,Erik C.; Stumbo,David P.; Whiteford,Jeffery A., Systems and methods for harvesting and integrating nanowires.
  737. Duan, Xiangfeng; Bernatis, Paul; Fischer-Colbrie, Alice; Hamilton, James M.; Lemmi, Francesco; Pan, Yaoling; Parce, J. Wallace; Pereira, Cheri X. Y.; Stumbo, David P., Systems and methods for harvesting and reducing contamination in nanowires.
  738. Taylor, David, Systems and methods for nanowire growth.
  739. Mostarshed, Shahriar; Romano, Linda T., Systems and methods for nanowire growth and harvesting.
  740. Pan,Yaoling; Duan,Xiangfeng; Dubrow,Robert S.; Goldman,Jay L.; Mostarshed,Shahriar; Niu,Chunming; Romano,Linda T.; Stumbo,Dave, Systems and methods for nanowire growth and harvesting.
  741. Pan,Yaoling; Duan,Xiangfeng; Dubrow,Robert S.; Goldman,Jay L.; Mostarshed,Shahriar; Niu,Chunming; Romano,Linda T.; Stumbo,Dave, Systems and methods for nanowire growth and harvesting.
  742. Niu, Chunming; Goldman, Jay L.; Duan, Xiangfeng; Sahi, Vijendra, Systems and methods for nanowire growth and manufacturing.
  743. Weitz, David A.; Agresti, Jeremy; Weiner, Michael P.; Abate, Adam R.; Hung, Tony, Systems and methods for nucleic acid sequencing.
  744. Link, Darren R., Systems for handling microfludic droplets.
  745. Kaylor,Rosann Marie; Yang,Difei; Atanassov,Zdravko Savov; Knotts,Michael Eugene, Systems to view and analyze the results from diffraction-based diagnostics.
  746. Jan Genzer ; Kirill Efimenko, Tailoring the grafting density of organic modifiers at solid/liquid interfaces.
  747. GanapathiSubramanian, Mahadevan; Choi, Byung-Jin; Miller, Michael N.; Stacey, Nicholas A., Technique for separating a mold from solidified imprinting material.
  748. Bailey, Todd; Choi, Byung J.; Colburn, Matthew; Sreenivasan, S. V.; Willson, C. Grant; Ekerdt, John, Template for room temperature, low pressure micro-and nano-imprint lithography.
  749. Selinidis, Kosta S.; Choi, Byung-Jin; Schmid, Gerard M.; Thompson, Ecron D.; McMackin, Ian Matthew, Template having alignment marks formed of contrast material.
  750. Verdine Gregory L. ; Cushing Timothy D., Template-directed interference footprinting of protein-adenine contacts.
  751. Millward, Dan B.; Westmoreland, Donald L.; Sandhu, Gurtej S., Templates including self-assembled block copolymer films.
  752. Sreenivasan, Sidlgata V.; Schumaker, Philip D.; McMackin, Ian M., Tessellated patterns in imprint lithography.
  753. Uhrich, Kathryn E.; Graves, Dana; Wada, Keisuke; Fiorellini, Joseph P.; Morano, Michelle; Rosario-Meléndez, Roselin; Snyder, Sabrina Sachiko, Therapeutic compositions for bone repair.
  754. Millward, Dan B.; Quick, Timothy, Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference.
  755. Millward, Dan B.; Quick, Timothy, Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference.
  756. Millward, Dan B.; Quick, Timothy A., Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference.
  757. Goodsaid, Federico; Unger, Marc; Huang, Jiang; Quan, Emerson, Thermal reaction device and method for using the same.
  758. McBride, Lincoln; Facer, Geoffrey; Unger, Marc; Lucero, Michael; Nassef, Hany Ramez, Thermal reaction device and method for using the same.
  759. McBride, Lincoln; Facer, Geoffrey; Unger, Marc; Lucero, Michael; Nassef, Hany Ramez, Thermal reaction device and method for using the same.
  760. McBride, Lincoln; Facer, Geoffrey; Unger, Marc; Lucero, Michael; Nassef, Hany Ramez, Thermal reaction device and method for using the same.
  761. McBride, Lincoln; Facer, Geoffrey; Unger, Marc; Lucero, Michael; Nassef, Hany Ramez, Thermal reaction device and method for using the same.
  762. Unger, Marc; Manger, Ian D.; Lucero, Michael; Yi, Yong; Miyashita-Lin, Emily; Wienecke, Anja; Facer, Geoffrey, Thermal reaction device and method for using the same.
  763. Unger, Marc; McBride, Lincoln; Facer, Geoffrey; Lucero, Michael; Nassef, Hany Ramez, Thermal reaction device and method for using the same.
  764. Fryer, Peter M.; Wisnieff, Robert L.; Tsujimura, Takatoshi, Thin film transistor and multilayer film structure and manufacturing method of same.
  765. Fryer,Peter M.; Wisnieff,Robert L.; Tsujimura,Takatoshi, Thin film transistor and multilayer film structure and manufacturing method of same.
  766. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor.
  767. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor.
  768. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor.
  769. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor.
  770. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor.
  771. Frey, Matthew H.; Zu, Lijun; Hagermoser, Edward S., Touch screen sensor.
  772. Frey, Matthew H.; Zu, Lijun; Hagermoser, Edward S., Touch screen sensor.
  773. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor having varying sheet resistance.
  774. Frey, Matthew H.; Robrecht, Michael J.; Jambor, George F., Touch screen sensor having varying sheet resistance.
  775. Geaghan, Bernard O.; Hagermoser, Edward S., Touch screen sensor with low visibility conductors.
  776. Geaghan, Bernard O.; Hagermoser, Edward S., Touch screen sensor with low visibility conductors.
  777. Geaghan, Bernard O., Touch sensitive devices with composite electrodes.
  778. Geaghan, Bernard O., Touch sensitive devices with composite electrodes.
  779. Barton, Roger W.; Weaver, Billy L.; Gorrell, Matthew W.; Hable, Brock A., Touch sensor electrode with patterned electrically isolated regions.
  780. Barashkov, Nikolay; Germain, Jonathan; Eisenberg, David; Eisenberg, Zachary; Kish, Lou, Tracer particles, and methods for making same.
  781. Ott, Andrew; King, Sean; Sharma, Ajay, Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate.
  782. Ott, Andrew; King, Sean; Sharma, Ajay, Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate, and method therefor.
  783. Rogers, John A.; Jackman, Rebecca J.; Paul, Kateri E.; Schueller, Olivier J. A.; Breen, Tricia Lynn; Whitesides, George M., Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element.
  784. Frey, Matthew H.; Zu, Lijun; Egbert, William C.; Banerjee, Swagata R.; Sainati, Robert A., Transparent micropatterned RFID antenna and articles incorporating same.
  785. Millward, Dan B., Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly.
  786. Millward, Dan B., Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly.
  787. Rosann M. Kaylor ; Abraham B. Choi ; Chibueze Obinna Chidebelu-Eze ; Michael Heinrich Herbert Grunze DE, Use of wicking agent to eliminate wash steps for optical diffraction-based biosensors.
  788. Menard, Etienne, Vacuum coupled tool apparatus for dry transfer printing semiconductor elements.
  789. Breen, Tricia L.; Kosbar, Laura L.; Mastro, Michael P.; Nunes, Ronald W., Vapor phase surface modification of composite substrates to form a molecularly thin release layer.
  790. Griffiths, Andrew David; Weitz, David; Link, Darren; Ahn, Keunho; Bibette, Jerome, Vitro evolution in microfluidic systems.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로