$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Electroless plating process for the manufacture of printed circuit boards 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-014/02
출원번호 US-0558900 (1995-11-16)
발명자 / 주소
  • Cane Frank N. (3058 Plumstead Way San Jose CA 95148)
인용정보 피인용 횟수 : 214  인용 특허 : 0

초록

There is disclosed a process for electroless plating of a conductive metal layer onto the surface of a non-conductive substrate, in which the substrate surface is prepared for receiving a coating of activator using conventional methods, and the coating of activator is applied by contacting the subst

대표청구항

An electroless plating process comprising the steps of: a) preparing a surface of a non-conductive substrate by treatment of such surface with alkaline permanganate or by plasma desmearing; b) contacting the prepared surface resulting from step a) with a stabilized sensitizing solution comprising (i

이 특허를 인용한 특허 (214)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  9. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  10. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  11. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  12. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  13. Lubomirsky, Dmitry, Chamber with flow-through source.
  14. Lubomirsky, Dmitry, Chamber with flow-through source.
  15. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  16. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  17. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  18. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  19. Begum, Zatoon; Goosey, Martin T.; Graves, John E.; Poole, Mark A.; Singh, Amrik, Combined adhesion promotion and direct metallization process.
  20. Lowack, Klaus; Schmid, G?nter; Sezi, Recai, Component having at least two mutually adjacent insulating layers and corresponding production method.
  21. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  22. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  23. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  24. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  25. Verbunt, Han, Copper activator solution and method for semiconductor seed layer enhancement.
  26. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  27. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  28. Wanda Darlene Brewster ; Tuan Hoang Ho, Desmear etchant and use thereof.
  29. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  30. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  31. Dutton, Steven Lee, Direct emulsion process for making printed circuits.
  32. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  33. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  34. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  35. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  36. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  37. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  38. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  39. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  40. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  41. Black, Steven A., Durable, non-reactive, resistive-film heater.
  42. McDermott, Brian J.; McGowan, Daniel; Spotts, Jr., Ralph Leo; Tryzbiak, Sid, Electrical device with teeth joining layers and method for making the same.
  43. Brian A. Bullock ; Steven A. Black, Electrical, thin film termination.
  44. Bullock, Brian A.; Black, Steven A., Electrical, thin film termination.
  45. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  46. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  47. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  48. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  49. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  50. Ding, Zhongfen; Papas, Paul; Guilani, Brad; Zafiris, Georgios S.; Mosher, Daniel A.; Eastman, Scott Alan, Electroless metal coating of load bearing member for elevator system.
  51. McConnell Christopher F. ; Verhaverbeke Steven, Electroless metal deposition of electronic components in an enclosable vessel.
  52. Gellett, Wayne L.; Rupert, Benjamin L.; Irwin, Levi J.; Beer, Leanne; Worlikar, Shilpa A.; Shi, Steven Z., Electrolyte compositions and electrochemical double layer capacitors formed there from.
  53. Pearson, Trevor; Clarke, Terence; Chapaneri, Roshan V.; Robinson, Craig; Hyslop, Alison; Singh, Amrik, Electrolytic generation of manganese (III) ions in strong sulfuric acid.
  54. Pearson, Trevor; Clarke, Terence; Chapaneri, Roshan V., Electrolytic generation of manganese (III) ions in strong sulfuric acid using an improved anode.
  55. Pearson, Trevor; Clarke, Terence; Chapaneri, Roshan V., Electrolytic generation of manganese (III) ions in strong sulfuric acid using an improved anode.
  56. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  57. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  58. Pearson, Trevor; Robinson, Craig, Etching of plastic using acidic solutions containing trivalent manganese.
  59. Pearson, Trevor; Robinson, Craig, Etching of plastic using acidic solutions containing trivalent manganese.
  60. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  61. Black,Steven A., Fail-safe, resistive-film, immersion heater.
  62. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  63. Sharma, Sunity; Dhau, Jaspreet Singh, Flexible circuit formation.
  64. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  65. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  66. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  67. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  68. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  69. Hawkins, J. Adrian; Hudgins, David A.; Irwin, Levi J., Heat transfer medium, phosphonium ionic liquids, and methods of making.
  70. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  71. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  72. Sharma, Sunity Kumar; Beavers, Jr., Alex Newsom; Furst, Thomas, Indium-less transparent metalized layers.
  73. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  74. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  75. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  76. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  77. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  78. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  79. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  80. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  81. Noordegraaf, Dirk Aart; Nuss, Rudolf, Lightweight high stiffness composites having class A surface finish.
  82. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  83. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  84. Kiyokawa Tadashi,JPX, Metal film resistor having fuse function and method for producing the same.
  85. Tomantschger, Klaus; McCrea, Jonathan; Nagarajan, Nandakumar; Gonzalez, Francisco; Palumbo, Gino; Panagiotopoulos, Konstantinos; Katugaha, Herath, Metal-clad polymer article.
  86. Tomantschger, Klaus; McCrea, Jonathan; Nagarajan, Nandakumar; Gonzalez, Francisco; Palumbo, Gino; Panagiotopoulos, Konstantinos; Katugaha, Herath; Facchini, Diana; Victor, Jared J.; Erb, Uwe, Metal-clad polymer article.
  87. McCrea, Jonathan; Gonzalez, Francisco; Palumbo, Gino; Tomantschger, Klaus; Emrich, Rich; Panagiotopoulos, Konstantinos; Pasquantonio, Mary; Kratochwil, John; Katugaha, Herath, Metal-coated polymer article.
  88. McCrea, Jonathan; Gonzalez, Fracisco; Palumbo, Gino; Tomantschger, Klaus; Emrich, Rich; Panagiotopoulos, Konstantinos; Pasquantonio, Mary; Kratochwil, John; Katugaha, Herath, Metal-coated polymer article of high durability and vacuum and/or pressure integrity.
  89. McCrea, Jonathan; Gonzalez, Francisco; Palumbo, Gino; Tomantschger, Klaus; Emrich, Rich; Panagiotopoulos, Konstantinos; Pasquantonio, Mary; Kratochwil, John; Katugaha, Herath, Metal-coated polymer article of high durability and vacuum and/or pressure integrity.
  90. Joshi, Nayan H., Metallization of non-conductive surfaces with silver catalyst and electroless metal compositions.
  91. Grunwald, John, Method and apparatus for improving interfacial chemical reactions in electroless depositions of metals.
  92. Black, Steven A., Method for adhering a resistive coating to a substrate.
  93. Black, Steven A., Method for adjusting resistivity of a film heater.
  94. Yu, Zhongyao; Sun, Yu; Fang, Zhidan, Method for constructing an external circuit structure.
  95. Lee, David M.; Francomacaro, Arthur S.; Lehtonen, Seppo J.; Charles, Jr., Harry K., Method for electroless gold plating of conductive traces on printed circuit boards.
  96. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  97. Asai, Motoo; Hiramatsu, Yasuji, Method for manufacturing printed wiring board.
  98. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  99. Lowack,Klaus; Schmid,Günter; Sezi,Recai, Method for the metalization of an insulator and/or a dielectric.
  100. Schildmann, Mark Peter, Method for treating laser-structured plastic surfaces.
  101. Poole, Mark A.; Cobley, Andrew J.; Singh, Amrik; Bass, Kevin, Method of electrolessly depositing metal on the walls of through-holes.
  102. Ko, Jungmin, Method of fin patterning.
  103. Shimoto Tadanori,JPX ; Matsui Koji,JPX, Method of forming electrically conductive wiring pattern.
  104. Takashi Katoh JP, Method of making a printed circuit board.
  105. Sonnenberg Wade ; Burress Jeffrey P. ; Oglesby David ; Shelnut James G., Method of manufacture of multilayer circuit boards.
  106. Takada, Masaru; Kobayashi, Hiroyuki; Chihara, Kenji; Minoura, Hisashi; Tsukada, Kiyotaka; Kondo, Mitsuhiro, Method of manufacturing printed wiring board.
  107. Takada, Masaru; Kobayashi, Hiroyuki; Chihara, Kenji; Minoura, Hisashi; Tsukada, Kiyotaka; Kondo, Mitsuhiro, Method of manufacturing printed wiring board.
  108. Okubo Toshikazu,JPX ; Hisumi Yoshiyuki,JPX, Method of pretreatment for electroless nickel plating.
  109. Angelopoulos Anastasios P. ; Jones Gerald W. ; Matienzo Luis J. ; Miller Thomas R. ; Taylor William D., Method of uniformly depositing seed and a conductor and the resultant printed circuit structure.
  110. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  111. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  112. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  113. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  114. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  115. Kuhr, Werner G.; Shi, Steven Z.; Wei, Jen-Chieh; Liu, Zhiming; Wei, Lingyun, Methods of treating a surface to promote metal plating and devices formed.
  116. Wei, Jen-Chieh; Liu, Zhiming; Shi, Steven Z.; Kuhr, Werner G., Methods of treating copper surfaces for enhancing adhesion to organic substrates for use in printed circuit boards.
  117. Wei, Jen-Chieh; Liu, Zhiming; Shi, Steven Z.; Kuhr, Werner G., Methods of treating copper surfaces for enhancing adhesion to organic substrates for use in printed circuit boards.
  118. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  119. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  120. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  121. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  122. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  123. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  124. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  125. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  126. Hawkins, J. Adrian; Hudgins, David A.; Irwin, Levi J., Phosphonium ionic liquids, compositions, methods of making and batteries formed there from.
  127. Hawkins, J. Adrian; Hudgins, David A.; Irwin, Levi J., Phosphonium ionic liquids, compositions, methods of making and devices formed there from.
  128. Hawkins, J. Adrian; Hudgins, David A.; Irwin, Levi J., Phosphonium ionic liquids, compositions, methods of making and electrolytic films formed there from.
  129. Hawkins, J. Adrian; Hudgins, David A.; Irwin, Levi J., Phosphonium ionic liquids, compositions, methods of making and electronic devices formed there from.
  130. Rupert, Benjamin L.; Irwin, Levi J.; Beer, Leanne; Worlikar, Shilpa A.; Shi, Steven Z., Phosphonium ionic liquids, salts, compositions, methods of making and devices formed there from.
  131. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  132. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  133. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  134. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  135. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  136. Wakihara,Yoshinori; Yamada,Kazuhito, Printed circuit boards and method of producing the same.
  137. Dutton, Steven Lee, Printed circuits and method for making same.
  138. Asai, Motoo; Hiramatsu, Yasuji; Wakihara, Yoshinori; Yamada, Kazuhito, Printed wiring board and method for manufacturing the same.
  139. Asai, Motoo; Hiramatsu, Yasuji; Wakihara, Yoshinori; Yamada, Kazuhito, Printed wiring board and method for manufacturing the same.
  140. Wakihara, Yoshinori; Yamada, Kazuhito, Printed wiring board and method for manufacturing the same.
  141. Takada,Masaru; Kobayashi,Hiroyuki; Chihara,Kenji; Minoura,Hisashi; Tsukada,Kiyotaka; Kondo,Mitsuhiro, Printed wiring board and method of manufacturing the same.
  142. Gaku Morio,JPX ; Ikeguchi Nobuyuki,JPX ; Tanaka Yasuo,JPX, Printed wiring board having highly reliably via hole and process for forming via hole.
  143. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  144. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  145. Cooney ; III Edward C. ; Korejwa Josef W. ; Strippe David C., Process and apparatus for cold copper deposition to enhance copper plating fill.
  146. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  147. Tsuji Hideo,JPX ; Ishikawa Hideo,JPX ; Ido Yasuo,JPX ; Shibayama Takayuki,JPX, Process for producing aluminum alloy bearing.
  148. Bollens Louis,BEX ; Heyvaerts Andre,BEX ; Quintens Dirk,BEX ; Wolf Gerhard Dieter,DEX ; Giesecke Henning,DEX ; Jonas Friedrich,DEX, Process for producing metal-coated films in web form.
  149. John Joseph Konrad ; Konstantinos I. Papathomas ; Timothy Leroy Wells ; James Warren Wilson, Process for reducing extraneous metal plating.
  150. Konrad John Joseph ; Papathomas Konstantinos I. ; Wells Timothy Leroy ; Wilson James Warren, Process for reducing extraneous metal plating.
  151. Larson Gary B., Process for the manufacture of printed circuit boards.
  152. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  153. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  154. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  155. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  156. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  157. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  158. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  159. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  160. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  161. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  162. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  163. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  164. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  165. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  166. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  167. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  168. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  169. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  170. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  171. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  172. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  173. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  174. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  175. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  176. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  177. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  178. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  179. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  180. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  181. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  182. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  183. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  184. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  185. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  186. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  187. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  188. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  189. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  190. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  191. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  192. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  193. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  194. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  195. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  196. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  197. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  198. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  199. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  200. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  201. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  202. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  203. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  204. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  205. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  206. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  207. Tomantschger, Klaus; McCrea, Jonathan; Nagarajan, Nandakumar; Gonzalez, Francisco; Palumbo, Gino; Panagiotopoulos, Konstantinos; Katugaha, Herath, Structural metal-clad polymer article.
  208. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  209. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  210. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  211. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  212. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  213. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  214. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로