$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Selective electroless copper deposited interconnect plugs for ULSI applications 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/28
출원번호 US-0587263 (1996-01-16)
발명자 / 주소
  • Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA)
출원인 / 주소
  • Sematech, Inc. (Austin TX 02)
인용정보 피인용 횟수 : 534  인용 특허 : 6

초록

A method or utilizing electroless copper deposition to selectively form encapsulated copper plugs to connect conductive regions on a semiconductor. A via opening in an inter-level dielectric (ILD) provides a path for connecting two conductive regions separated by the ILD. Once the underlying metal l

대표청구항

A method of selectively forming an interconnect structure on a semiconductor wafer to couple a first conductive region to a second conductive region and in which said two conductive regions are separated by a dielectric layer, comprising the steps of: forming an opening in said dielectric layer to e

이 특허에 인용된 특허 (6)

  1. Shacham Yosef Y. (Ithaca NY) Bielski Roman (Ithaca NY), Alkaline free electroless deposition.
  2. Kumasaka Osamu (Yamanashi JPX) Yamaoka Nobuki (Yamanashi JPX), Electroless plating method and apparatus.
  3. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  4. Gilton Terry L. (Boise ID) Tuttle Mark E. (Boise ID) Cathey David A (Boise ID), Process for metallizing integrated circuits with electrolytically-deposited copper.
  5. Baum Thomas H. (San Jose CA) Houle Frances A. (Fremont CA) Jones Carol R. (San Jose CA) Kovac Caroline A. (Ridgefield CT), Selective deposition of copper.
  6. Hoshino Kazuhiro (Tokyo JPX), Semiconductor device using copper metallization.

이 특허를 인용한 특허 (534)

  1. Paik,Young J., Adjusting manufacturing process control parameter using updated process threshold derived from uncontrollable error.
  2. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  3. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  4. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  5. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  10. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  11. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  12. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  13. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  14. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  15. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  16. Ivanov, Igor C., Apparatus configurations for affecting movement of fluids within a microelectric topography processing chamber.
  17. Omstead, Thomas R.; Wongsenakhum, Panya; Messner, William J.; Nagy, Edward J.; Starks, William; Moslehi, Mehrdad M., Apparatus for dispensing gas for fabricating substrates.
  18. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  19. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  20. Ritzdorf, Thomas L.; Stevens, E. Henry; Chen, LinLin; Graham, Lyndon W.; Dundas, Curt, Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  21. Omstead, Thomas R.; Wongsenakhum, Panya; Messner, William J.; Nagy, Edward J.; Starks, William; Moslehi, Mehrdad M., Apparatus for supporting a substrate in a reaction chamber.
  22. James A. Cunningham, Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects.
  23. Schwarm,Alexander T., Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools.
  24. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  25. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  26. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  27. Chen, Ling; Marcadal, Christophe, Barrier layer structure for copper metallization and method of forming the structure.
  28. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  29. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  30. Lin, Charles Wen Chyang, Bumpless flip chip assembly with solder via.
  31. Charles Wen Chyang Lin SG, Bumpless flip chip assembly with strips and via-fill.
  32. Lin Charles Wen Chyang,SGX, Bumpless flip chip assembly with strips and via-fill.
  33. Charles Wen Chyang Lin SG, Bumpless flip chip assembly with strips-in-via and plating.
  34. Charles Wen Chyang Lin SG, Bumpless flip chip assembly with strips-in-via and plating.
  35. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  36. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  37. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  38. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  39. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  40. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  41. Lubomirsky, Dmitry, Chamber with flow-through source.
  42. Lubomirsky, Dmitry, Chamber with flow-through source.
  43. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  44. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  45. Sambucetti, Carlos Juan; Chen, Xiaomeng; Seo, Soon-Cheon; Agarwala, Birenda Nath; Hu, Chao-Kun; Lustig, Naftali Eliahu; Greco, Stephen Edward, Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect.
  46. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  47. Valverde,Charles; Petrov,Nicolai; Yakobson,Eric; Chen,Qingyun; Paneccasio, Jr.,Vincent; Hurtubise,Richard; Witt,Christian, Cobalt and nickel electroless plating in microelectronic devices.
  48. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  49. Bu, Xiaomei; See, Alex; Zhang, Fan; Hui, Jane; Lee, Tae Jong; Hsia, Liang Choo, Combined copper plating method to improve gap fill.
  50. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  51. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  52. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  53. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  54. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  55. Preusse, Axel; Schroeder, Norbert; Stoeckgen, Uwe, Contact elements of a semiconductor device formed by electroless plating and excess material removal with reduced sheer forces.
  56. Preusse, Axel; Schroeder, Norbert; Stoeckgen, Uwe, Contact elements of a semiconductor device formed by electroless plating and excess material removal with reduced sheer forces.
  57. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  58. Hussein,Makarem A., Continuous metal interconnects.
  59. Paik, Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  60. Liu Chung-Shi,TWX ; Chang Chung-Long,TWX ; Yu Chen-Hua,TWX, Copper chemical-mechanical-polishing (CMP) dishing.
  61. Wong, Kaiser H., Copper interconnect by immersion/electroless plating in dual damascene process.
  62. Nogami Takeshi ; Pramanick Shekhar ; Brown Dirk, Copper interconnect methodology for enhanced electromigration resistance.
  63. Roy Sudipto Ranendra,SGX, Copper interconnect patterning.
  64. Kim, Ki-Bum; Soininen, Pekka J.; Raaijmakers, Ivo, Copper interconnect structure having stuffed diffusion barrier.
  65. Kim, Ki-Bum; Soininen, Pekka J.; Raaijmakers, Ivo, Copper interconnect structure having stuffed diffusion barrier.
  66. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Copper metallization of USLI by electroless process.
  67. Farrar Paul A., Copper metallurgy in integrated circuits.
  68. Farrar, Paul A., Copper metallurgy in integrated circuits.
  69. Shacham Diamand,Yossi; Sverdlov,Yelena, Copper molybdenum electroless deposition process and materials.
  70. Howell, Wayne J.; Mendelson, Ronald L.; Motsiff, William T., Copper pad structure.
  71. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  72. Harper James M. E. ; Geffken Robert M., Copper stud structure with refractory metal liner.
  73. Shanmugasundram, Arulkumar; Parikh, Suketu A., Copper wiring module control.
  74. Dubin Valery ; Ting Chiu, Copper-aluminum metallization.
  75. Lopatin, Sergey D., Cu/Sn/Pd activation of a barrier layer for electroless CU deposition.
  76. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  77. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  78. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  79. James A. Cunningham, Diffusion barriers for copper interconnect systems.
  80. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  81. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  82. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  83. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  84. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  85. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  86. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  87. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  88. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  89. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  90. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  91. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  92. Paik, Young Jeen, Dynamic offset and feedback threshold.
  93. Chi, Yueh-Shian; Hawkins, Parris C M; Huang, Charles Q., Dynamic subject information generation in message services of distributed object systems.
  94. Chi,Yueh shian T.; Hawkins,Parris C. M.; Huang,Charles Q., Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility.
  95. Chung-Shi Liu TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Effective diffusion barrier.
  96. Liu Chung-Shi,TWX ; Shue Shau-Lin,TWX ; Yu Chen-Hua,TWX, Effective diffusion barrier process and device manufactured thereby.
  97. Bonvalot, Beatrice, Electrical assembly.
  98. Dubin Valery M. ; Shacham-Diamand Yosef ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications.
  99. Minshall, Edmund B.; Biggs, Kevin; Stowell, R. Marshall; Fetters, Wayne, Electroless copper deposition apparatus.
  100. Andryuschenko, Tatyana N.; Reid, Jonathan D.; Mayer, Steven T.; Webb, Eric G., Electroless copper deposition method for preparing copper seed layers.
  101. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Electroless copper employing hypophosphite as a reducing agent.
  102. Varadarajan,Seshasayee; Zhou,Jian, Electroless copper fill process.
  103. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  104. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  105. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  106. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  107. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  108. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  109. Park, Heung L.; Webb, Eric G.; Reid, Jonathan D.; Cleary, Timothy Patrick, Electroless layer plating process and apparatus.
  110. Kong, Bob; Li, Nanhai, Electroless plating solution and process.
  111. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  112. Feng, Jingbin; He, Zhian; Rash, Robert; Mayer, Steven T., Electroplating apparatus with vented electrolyte manifold.
  113. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  114. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  115. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  116. Krishnamurthy,Badri N.; Hawkins,Parris C. M., Experiment management system, method and medium.
  117. Wong, Kaiser H., Fabrication of embedded vertical spiral inductor for multichip module (MCM) package.
  118. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  119. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  120. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  121. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Prabhu, Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  122. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Prabhu,Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  123. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Iliopoulos, Ilias; Parkhomovsky, Alexander; Seamons, Martin J., Feedback control of plasma-enhanced chemical vapor deposition processes.
  124. Paik,Young Joseph, Feedforward and feedback control for conditioning of chemical mechanical polishing pad.
  125. Chowdhury,Shaestagir; Tsang,Chi Hwa, Filling narrow and high aspect ratio openings using electroless deposition.
  126. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  127. Charles W. C. Lin SG, Flip chip assembly with via interconnection.
  128. Charles W. C. Lin SG, Flip chip assembly with via interconnection.
  129. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  130. Chiras, Stefanie Ruth; Lane, Michael Wayne; Malhotra, Sandra Guy; Mc Feely, Fenton Reed; Rosenberg, Robert; Sambucetti, Carlos Juan; Vereecken, Philippe Mark, Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures.
  131. Deligianni, Hariklia; Huang, Qiang; Hummel, John P.; Romankiw, Lubomyr T.; Rothwell, Mary B., Formation of vertical devices by electroplating.
  132. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper and other metals.
  133. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  134. Farrar, Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  135. Hautala,John J., GCIB processing of integrated circuit interconnect structures.
  136. Skinner, Wesley J.; Hautala, John J., GCIB processing to improve interconnection vias and improved interconnection via.
  137. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  138. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  139. Chi, Yuehshian T.; Hawkins, Parris C. M.; Jin, Qiaolin, Generic interface builder.
  140. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  141. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  142. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  143. Li, Sam Fong Yau; Ng, Hou Tee, Highly selective and complete interconnect metal line and via/contact hole filling by electroless plating.
  144. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  145. Toyoda, Takahiro; Kihara, Nobuhiro; Shirakura, Akira, Holographic stereogram printing system, holographic stereogram printing method and holographing device.
  146. Farrar,Paul A., Hplasma treatment.
  147. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  148. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  149. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  150. Farrar, Paul A., Integrated circuit and seed layers.
  151. Farrar,Paul A., Integrated circuit and seed layers.
  152. Farrar,Paul A., Integrated circuit and seed layers.
  153. Dubin,Valery M., Integrated circuit with metal layer having carbon nanotubes and methods of making same.
  154. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  155. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  156. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  157. Wang,Yuchun, Integrated polishing and electroless deposition.
  158. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integrating tool, module, and fab level control.
  159. Reiss,Terry P.; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integration of fault detection with run-to-run control.
  160. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  161. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  162. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  163. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Yukio; Fukunaga,Akira, Interconnects forming method and interconnects forming apparatus.
  164. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Yukio; Fukunaga,Akira, Interconnects forming method and interconnects forming apparatus.
  165. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  166. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  167. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  168. Ko, Ting-Chu; Tsai, Ming-Hsing; Shih, Chien-Hsueh, Low resistance and reliable copper interconnects by variable doping.
  169. Ko, Ting-Chu; Tsai, Ming-Hsing; Shih, Chien-Hsueh, Low resistance and reliable copper interconnects by variable doping.
  170. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  171. Patil, Suraj K.; Chi, Min-hwa; Derderian, Garo; Peng, Wen-Pin, MOL contact metallization scheme for improved yield and device reliability.
  172. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  173. McTeer,Allen; Harshfield,Steven T., Memory cell with selective deposition of refractory metals.
  174. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  175. Paranjpe Ajit P. ; Moslehi Mehrdad M. ; Bubber Randhir S. ; Velo Lino A., Method and apparatus for depositing tantalum-based thin films with organmetallic precursor.
  176. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  177. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  178. Hashim,Imran; Chiang,Tony; Chin,Barry, Method and apparatus for forming improved metal interconnects.
  179. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method and apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  180. Yoshio, Akira; Segawa, Yuji, Method and apparatus for plating, and plating structure.
  181. Nguyen Tue ; Maa Jer-Shen, Method for a chemical vapor deposition of copper on an ion prepared conductive surface.
  182. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  183. Omstead Thomas R. ; Wongsenakhum Panya ; Messner William J. ; Nagy Edward J. ; Starks William ; Moslehi Mehrdad M., Method for fabricating a device on a substrate.
  184. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Bubber, Randhir S.; Velo, Lino A., Method for fabricating a semiconductor chip interconnect.
  185. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  186. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  187. Lukanc Todd P. ; Brown Dirk ; Nogami Takeshi, Method for fabricating protected copper metallization.
  188. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  189. Ritzdorf, Thomas L.; Graham, Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  190. Ritzdorf,Thomas L.; Graham,Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  191. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  192. Lin, Charles W. C., Method for forming a ball bond connection joint on a conductive trace and conductive pad in a semiconductor chip assembly.
  193. Liao Kuan-Yang,TWX, Method for forming a barrier layer.
  194. Ajit P. Paranjpe ; Mehrdad M. Moslehi ; Lino A. Velo ; Thomas R. Omstead ; David R. Campbell, Sr. ; Zeming Liu ; Guihua Shang, Method for forming a copper film on a substrate.
  195. Braeckelmann Gregor ; Venkatraman Ramnath ; Herrick Matthew Thomas ; Simpson Cindy R. ; Fiordalice Robert W. ; Denning Dean J. ; Jain Ajay ; Capasso Cristiano, Method for forming a semiconductor device.
  196. Peter Zurcher ; Robert E. Jones, Jr. ; Papu D. Maniar ; Peir Chu, Method for forming a semiconductor device.
  197. Palmans Roger,BEX ; Waeterloos Joost,BEX ; Declerck Gibert,BEX, Method for forming copper-containing metal studs.
  198. Lee Kyeong Bock,KRX ; Jin Sung Gon,KRX ; Kwak Noh Jung,KRX, Method for forming metal interconnection of semiconductor device.
  199. Kawaguchi Akemi,JPX, Method for forming wiring for a semiconductor device.
  200. Tsai Ming Wsing,TWX ; Shue Shau-Lin,TWX, Method for improvement of electromigration of copper by carbon doping.
  201. Cheng,Chin Chang; Dubin,Valery M.; Moon,Peter K., Method for improving selectivity of electroless metal deposition.
  202. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method for low temperature annealing of metallization micro-structures in the production of a microelectronic device.
  203. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance.
  204. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby.
  205. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby.
  206. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  207. Dubin, Valery, Method for making interconnects and diffusion barriers in integrated circuits.
  208. Jung, Jong Goo; Ahn, Ki Cheol; Kwon, Pan Ki, Method for manufacturing metal line contact plug of semiconductor device.
  209. Ivanov, Igor C., Method for passivating hardware of a microelectronic topography processing chamber.
  210. Moslehi Mehrdad M., Method for planarized deposition of a material.
  211. Lee Fu-Sheng,TWX ; Chen Chien-Chen,TWX ; Lin Chen-Ting,TWX ; Lu Cheh-Chieh,TWX, Method for processing and integrating copper interconnects.
  212. Iwasaki,Tomio; Miura,Hideo, Method for producing semiconductor devices that includes forming a copper film in contact with a ruthenium film.
  213. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  214. Ivanov, Igor C.; Zhang, Weiguo; Kolics, Artur, Method for strengthening adhesion between dielectric layers formed adjacent to metal layers.
  215. Ajit P. Paranjpe ; Randhir S. Bubber ; Sanjay Gopinath ; Thomas R. Omstead ; Mehrdad M. Moslehi, Method of chemical-vapor deposition of a material.
  216. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of connecting a bumped compliant conductive trace and an insulative base to a semiconductor chip.
  217. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of connecting a bumped compliant conductive trace to a semiconductor chip.
  218. Charles W. C. Lin SG; Cheng-Lien Chiang TW, Method of connecting a bumped conductive trace to a semiconductor chip.
  219. Lin, Charles W. C., Method of connecting a conductive trace and an insulative base to a semiconductor chip.
  220. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of connecting a conductive trace and an insulative base to a semiconductor chip using multiple etch steps.
  221. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of connecting a conductive trace and an insulative base to a semiconductor chip using multiple etch steps.
  222. Charles W. C. Lin SG, Method of connecting a conductive trace to a semiconductor chip.
  223. Lin, Charles W. C., Method of connecting a conductive trace to a semiconductor chip.
  224. Lin, Charles W. C., Method of connecting a conductive trace to a semiconductor chip using a metal base.
  225. Lin,Charles W. C., Method of connecting a conductive trace to a semiconductor chip using conductive adhesive.
  226. Chiang, Cheng-Lien; Lin, Charles W. C., Method of connecting a conductive trace to a semiconductor chip using plasma undercut etching.
  227. Lin,Charles W. C.; Chiang,Cheng Lien, Method of connecting an additively and subtractively formed conductive trace and an insulative base to a semiconductor chip.
  228. Yu Allen S. ; Steffan Paul J. ; Scholer Thomas C., Method of defining copper seed layer for selective electroless plating processing.
  229. Ivanov, Igor C., Method of depositing fluids within a microelectric topography processing chamber.
  230. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  231. Vanhaelemeersch, Serge; Maex, Karen, Method of fabricating a semiconductor device.
  232. Huang Chao-Yuan,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX, Method of fabricating dual damascene structure.
  233. Steven C. Avanzino ; Kai Yang ; Sergey Lopatin ; Todd P. Lukanc, Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film.
  234. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Pan,Rong; Hernandez,Manuel; Mohammad,Amna, Method of feedback control of sub-atmospheric chemical vapor deposition processes.
  235. Ko, Jungmin, Method of fin patterning.
  236. Gilton Terry L. ; Chopra Dinesh, Method of forming a metal seed layer for subsequent plating.
  237. Gilton, Terry L.; Chopra, Dinesh, Method of forming a metal seed layer for subsequent plating.
  238. Terry L. Gilton ; Dinesh Chopra, Method of forming a metal seed layer for subsequent plating.
  239. Geffken Robert M. ; Luce Stephen E., Method of forming a self-aligned copper diffusion barrier in vias.
  240. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of forming a smooth copper seed layer for a copper damascene structure.
  241. Hong Qi-Zhong ; Jeng Shin-Puu ; Hsu Wei-Yung, Method of forming diffusion barriers encapsulating copper.
  242. Ogure, Naoaki; Inoue, Hiroaki, Method of forming embedded copper interconnections and embedded copper interconnection structure.
  243. Efland Taylor R. ; Mai Quang X. ; Williams Charles E. ; Keller Stephen A., Method of forming improved thick plated copper interconnect and associated auxiliary metal interconnect.
  244. Hopper,Peter J.; Vashchenko,Vladislav; Johnson,Peter; Drury,Robert, Method of forming through-the-wafer metal interconnect structures.
  245. Taguchi Mitsuru,JPX ; Maeda Keiichi,JPX, Method of forming wirings.
  246. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby.
  247. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of making a bumped terminal in a laminated structure for a semiconductor chip assembly.
  248. Lin, Charles W. C.; Chiang, Cheng-Lien, Method of making a contact terminal with a plated metal peripheral sidewall portion for a semiconductor chip assembly.
  249. Chan Lap ; Zheng Jia Zhen,SGX, Method of making a copper interconnect with top barrier layer.
  250. Lin, Charles W. C., Method of making a pillar in a laminated structure for a semiconductor chip assembly.
  251. Charles W. C. Lin SG, Method of making a semiconductor chip assembly.
  252. Lin, Charles W. C., Method of making a semiconductor chip assembly by joining the chip to a support circuit with an adhesive.
  253. Lin,Charles W. C.; Chiang,Cheng Lien, Method of making a semiconductor chip assembly with a bumped metal pillar.
  254. Lin,Charles W. C.; Chen,Cheng Chung, Method of making a semiconductor chip assembly with a bumped terminal and a filler.
  255. Lin, Charles W. C.; Chen, Cheng-Chung, Method of making a semiconductor chip assembly with a bumped terminal, a filler and an insulative base.
  256. Lin,Charles W. C.; Chiang,Cheng Lien, Method of making a semiconductor chip assembly with a carved bumped terminal.
  257. Wang, Chia-Chung; Lin, Charles W. C., Method of making a semiconductor chip assembly with a conductive trace and a substrate.
  258. Charles W. C. Lin SG, Method of making a semiconductor chip assembly with a conductive trace subtractively formed before and after chip attachment.
  259. Lin, Charles W. C., Method of making a semiconductor chip assembly with a conductive trace subtractively formed before and after chip attachment.
  260. Lin,Charles W. C.; Chen,Cheng Chung; Chiang,Cheng Lien, Method of making a semiconductor chip assembly with a laterally aligned bumped terminal and filler.
  261. Lin,Charles W. C.; Wang,Chia Chung, Method of making a semiconductor chip assembly with a metal containment wall and a solder terminal.
  262. Wang,Chia Chung; Lin,Charles W. C., Method of making a semiconductor chip assembly with a precision-formed metal pillar.
  263. Chiang,Cheng Lien; Lin,Charles W. C., Method of making a semiconductor chip assembly with an embedded metal particle.
  264. Wang,Chia Chung; Lin,Charles W. C., Method of making a semiconductor chip assembly with an interlocked contact terminal.
  265. Lin, Charles W. C., Method of making a semiconductor chip assembly with chip and encapsulant grinding.
  266. Lin, Charles W. C.; Wang, Chia-Chung; Sigmond, David M., Method of making a semiconductor chip assembly with metal pillar and encapsulant grinding and heat sink attachment.
  267. Lin,Charles W. C.; Wang,Chia Chung, Method of making a semiconductor chip assembly with thermal conductor and encapsulant grinding.
  268. Charles W. C. Lin SG, Method of making a support circuit for a semiconductor chip assembly.
  269. Charles W. C. Lin SG, Method of making a support circuit for a semiconductor chip assembly.
  270. Charles W. C. Lin SG, Method of making a support circuit with a tapered through-hole for a semiconductor chip assembly.
  271. Lin,Charles W. C.; Chiang,Cheng Lien, Method of making a three-dimensional stacked semiconductor package with a metal pillar and a conductive interconnect in an encapsulant aperture.
  272. Lin,Charles W. C.; Chiang,Cheng Lien, Method of making a three-dimensional stacked semiconductor package with a metal pillar in an encapsulant aperture.
  273. Ning, Xian J., Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation.
  274. Achuthan, Krishnashree; Marathe, Amit P., Method of manufacturing a seed layer with annealed region for integrated circuit interconnects.
  275. Saito, Tatsuyuki; Ohashi, Naofumi; Imai, Toshinori; Noguchi, Junji; Tamaru, Tsuyoshi, Method of manufacturing a semiconductor device having an interconnect embedded in an insulating film.
  276. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of preparing passivated copper line and device manufactured thereby.
  277. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  278. Ho Paul Kwok Keung,SGX ; Zhou Mei Sheng,SGX ; Gupta Subhash,SGX, Method to create a controllable and reproducible dual copper damascene structure.
  279. Zhou Mei Sheng,SGX ; Ho Paul Kwok Keung,SGX ; Gupta Subhash,SGX, Method to create a copper dual damascene structure with less dishing and erosion.
  280. Paul Kwok Keung Ho SG; Subhash Gupta SG; Mei Sheng Zhou SG; Simon Chooi SG, Method to deposit a cooper seed layer for dual damascence interconnects.
  281. Ho Paul Kwok Keung,SGX ; Gupta Subhash,SGX ; Zhou Mei Sheng,SGX ; Chooi Simon,SGX, Method to deposit a copper layer.
  282. Zhou Mei Sheng,SGX ; Xu Guo-Qin,SGX ; Chan Lap, Method to deposit a platinum seed layer for use in selective copper plating.
  283. Lap Chan ; Fong Yau Li SG; Hou Tee Ng SG, Method to deposit a seeding layer for electroless copper plating.
  284. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method to eliminate dishing of copper interconnects.
  285. Chen, Ying-Ho; Chiou, Wen-Chih; Shih, Tsu; Jang, Syun-Ming, Method to eliminate post-CMP copper flake defect.
  286. Chan Lap ; Li Sam Fong Yau,SGX ; Ng Hou Tee,SGX, Method to encapsulate copper plug for interconnect metallization.
  287. Chan, Lap; Li, Sam Fong Yau; Ng, Hou Tee, Method to encapsulate copper plug for interconnect metallization.
  288. Gupta, Subhash; Chern, Chyi S.; Zhou, Mei Sheng, Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier.
  289. Barth, Hans-Joachim, Method to form selective cap layers on metal features with narrow spaces.
  290. Liu Chung-Shi,TWX ; Yu Chen-Hua Douglas,TWX ; Lai Jane-Bai,TWX ; Chen Lih-Juann,TWX, Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure.
  291. Tsao,Jung Chih; Li,Chi Wen; Chen,Kei Wei; Hsu,Jye Wei; Fong,Hsien Pin; Lin,Steven; Chuang,Ray, Method to reduce Rs pattern dependence effect.
  292. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  293. Kokotov,Yuri; Entin,Efim; Seror,Jacques; Fisher,Yossi; Sarel,Shalomo; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Paik,Young Jeen, Method, system and medium for controlling manufacture process having multivariate input parameters.
  294. Al Bayati,Amir; Adibi,Babak; Foad,Majeed; Somekh,Sasson, Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements.
  295. Shanmugasundram,Arulkumar P.; Armer,Helen; Schwarm,Alexander T., Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities.
  296. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Seror,Jacques; Kokotov,Yuri; Entin,Efim, Method, system, and medium for handling misrepresentative metrology data within an advanced process control system.
  297. Mayer, Steven T.; Alexy, John B.; Feng, Jingbin, Methods and apparatus for airflow and heat management in electroless plating.
  298. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  299. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  300. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  301. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  302. Dornisch Dieter, Methods for barrier layer formation.
  303. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  304. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  305. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  306. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  307. Sinha, Nishant, Methods for forming conductive vias in semiconductor device components.
  308. Sinha, Nishant, Methods for forming conductive vias in semiconductor device components.
  309. Sinha, Nishant, Methods for forming conductive vias in semiconductor device components.
  310. Yu, Chen-Hua; Yeh, Ming-Shih; Lin, Chih-Hsien; Lu, Yung-Cheng; Chang, Hui-Lin, Methods for improving uniformity of cap layers.
  311. Ahn Kie Y. ; Forbes Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  312. Ahn,Kie Y.; Forbes,Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  313. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  314. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  315. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  316. Valery Dubin, Methods for making interconnects and diffusion barriers in integrated circuits.
  317. Tan, Samantha; Volosskiy, Boris; Kim, Taeseung; Nalla, Praveen; Tjokro, Novy; Kolics, Artur, Methods for wet metal seed deposition for bottom up gapfill of features.
  318. Farnworth, Warren M.; McDonald, Steven M.; Sinha, Nishant; Hiatt, William M., Methods of fabricating substrates including at least one conductive via.
  319. Farnworth,Warren M.; McDonald,Steven M.; Sinha,Nishant; Hiatt,William M., Methods of fabricating substrates including at least one conductive via.
  320. Farnworth, Warren M.; McDonald, Steven M.; Sinha, Nishant; Hiatt, William M., Methods of fabricating substrates including one or more conductive vias.
  321. Lin, Sean X.; He, Ming; Zhang, Xunyuan; Zhao, Larry, Methods of forming copper-based conductive structures by forming a copper-based seed layer having an as-deposited thickness profile and thereafter performing an etching process and electroless copper deposition.
  322. Lin, Sean X.; He, Ming; Zhang, Xunyuan; Zhao, Larry, Methods of forming copper-based conductive structures on an integrated circuit device.
  323. Oh Hee-Seon,KRX, Methods of forming integrated circuit capacitors using trench isolation and planarization techniques.
  324. Lee,Jae Seok; Lee,Kil Sung, Methods of forming integrated circuit devices having polished tungsten metal layers therein.
  325. Juengling,Werner; Prall,Kirk D.; Iyer,Ravi; Sandhu,Gurtej S.; Blalock,Guy, Methods of forming materials between conductive electrical components, and insulating materials.
  326. Kirby,Kyle K.; Farnworth,Warren M., Methods of plating via interconnects.
  327. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  328. Ajit P. Paranjpe ; Mehrdad M. Moslehi ; Boris Relja ; Randhir S. Bubber ; Lino A. Velo ; Thomas R. Omstead ; David R. Campbell, Sr. ; David M. Leet ; Sanjay Gopinath, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  329. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Relja, Boris; Bubber, Randhir S.; Velo, Lino A.; Omstead, Thomas R.; Campbell, Sr., David R.; Leet, David M.; Gopinath, Sanjay, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  330. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Relja, Boris; Bubber, Randhir S.; Velo, Lino A.; Omstead, Thomas R.; Campbell, Sr., David R.; Leet, David M.; Gopinath, Sanjay, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  331. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  332. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  333. Somekh, Sasson; Grunes, Howard E., Multi-tool control system, method and medium.
  334. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  335. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  336. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  337. Cheng Jerry ; Wang Fei, Nitride etch using N.sub.2 /Ar/CHF.sub.3 chemistry.
  338. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  339. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  340. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  341. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  342. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  343. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  344. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  345. Chan Lap ; Yap Kuan Pei,MYX ; Tee Kheng Chok,MYX ; Ip Flora S.,SGX ; Loh Wye Boon,MYX, Passivation of copper interconnect surfaces with a passivating metal layer.
  346. Lap Chan ; Kuan Pei Yap MY; Kheng Chok Tee MY; Flora S. Ip SG; Wye Boon Loh MY, Passivation of copper interconnect surfaces with a passivating metal layer.
  347. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  348. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  349. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  350. Inoue,Hiroaki; Susaki,Akira, Plating method including pretreatment of a surface of a base metal.
  351. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  352. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  353. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  354. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  355. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  356. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  357. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  358. Sinha,Nishant, Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias.
  359. Paik,Young J., Process control by distinguishing a white noise component of a process variance.
  360. Paik,Young Jeen, Process control by distinguishing a white noise component of a process variance.
  361. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  362. Naoki Komai JP; Yuji Segawa JP; Takeshi Nogami JP, Process for fabricating a semiconductor device.
  363. Vivian W. Ryan, Process for fabricating copper interconnect for ULSI integrated circuits.
  364. Ping-Chuan Wang ; Ronald G. Filippi ; Robert D. Edwards ; Edward W. Kiewra ; Roy C. Iggulden, Process of enclosing via for improved reliability in dual damascene interconnects.
  365. Bonvalot, Beatrice, Process to allow electrical and mechanical connection of an electrical device with a face equipped with contact pads.
  366. Hussein Makarem A., Process to manufacture continuous metal interconnects.
  367. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  368. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  369. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  370. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  371. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  372. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  373. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  374. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  375. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  376. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  377. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  378. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  379. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  380. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  381. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  382. Hu,Chao Kun; Rosenberg,Robert; Rubino,Judith M.; Sambucetti,Carlos J.; Stamper,Anthony K., Reduced electromigration and stressed induced migration of copper wires by surface coating.
  383. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  384. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  385. Zhang, Bei Chao; Seet, Chim Seng; Tan, Juan Boon; Zhang, Fan; Ee, Yong Chiang; Tao, Bo; Chen, Tong Qing; Hsia, Liang Choo, Reliable interconnects.
  386. Zhang, Bei Chao; Seet, Chim Seng; Tan, Juan Boon; Zhang, Fan; Ee, Yong Chiang; Tao, Bo; Chen, Tong Qing; Hsia, Liang Choo, Reliable interconnects.
  387. Uzoh, Cyprian Emeka; Haba, Belgacem; Mitchell, Craig, Reliable packaging and interconnect structures.
  388. Uzoh, Cyprian Emeka; Haba, Belgacem; Mitchell, Craig, Reliable packaging and interconnect structures.
  389. Uzoh, Cyprian Emeka; Haba, Belgacem; Mitchell, Craig, Reliable packaging and interconnect structures.
  390. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  391. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  392. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  393. Chou, You-Hua; Hong, Min Hao; Tsai, Jian-Shin; Liao, Miao-Cheng; Hsiang Ko, Hsiang, Reverse damascene process.
  394. Chou, You-Hua; Hong, Min Hao; Tsai, Jian-Shin; Liao, Miao-Cheng; Hsiang Ko, Hsiang, Reverse damascene process.
  395. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  396. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  397. Allen McTeer ; Steven T. Harshfield, Selective cap layers over recessed polysilicon plugs.
  398. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  399. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  400. Fei Wang ; Steven C. Avanzino ; Darrell M. Erb, Selective electroplating with direct contact chemical polishing.
  401. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  402. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  403. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  404. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  405. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  406. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  407. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  408. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  409. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  410. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  411. Morgan, Paul A.; Sinha, Nishant, Selective metal deposition over dielectric layers.
  412. Padhi,Deenesh; Gandikota,Srinivas; Naik,Mehul; Parikh,Suketu A.; Dixit,Girish A., Selective metal encapsulation schemes.
  413. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  414. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  415. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  416. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  417. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  418. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  419. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  420. Aegerter,Brian K.; Dundas,Curt T.; Ritzdorf,Tom L.; Curtis,Gary L.; Jolley,Michael; Peace,Steven L., Selective treatment of microelectric workpiece surfaces.
  421. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  422. Chao Li-chih,TWX ; Liaw Jhon-Jhy,TWX ; Huang Yuan-Chang,TWX ; Lee Jin-Yuan,TWX, Self-aligned contact structures using high selectivity etching.
  423. Liao Kuan-Yang,TWX, Self-aligned metal nitride for copper passivation.
  424. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  425. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  426. Dennison, Charles H., Semiconductor assemblies.
  427. Dennison,Charles H., Semiconductor assemblies.
  428. Charles W. C. Lin SG, Semiconductor chip assembly with ball bond connection joint.
  429. Lin, Charles W. C.; Chiang, Cheng-Lien, Semiconductor chip assembly with bumped conductive trace.
  430. Lin, Charles W.C.; Chiang, Cheng-Lien, Semiconductor chip assembly with bumped conductive trace.
  431. Lin,Charles W. C.; Chiang,Cheng Lien, Semiconductor chip assembly with bumped metal pillar.
  432. Charles W. C. Lin SG, Semiconductor chip assembly with bumped molded substrate.
  433. Lin,Charles W. C.; Chen,Cheng Chung, Semiconductor chip assembly with bumped terminal and filler.
  434. Lin, Charles W. C.; Chen, Cheng Chung, Semiconductor chip assembly with bumped terminal, filler and insulative base.
  435. Lin,Charles W. C.; Chiang,Cheng Lien, Semiconductor chip assembly with carved bumped terminal.
  436. Wang, Chia-Chung; Lin, Charles W. C., Semiconductor chip assembly with chip in substrate cavity.
  437. Lin, Charles W. C., Semiconductor chip assembly with elongated wire ball bonded to chip and electrolessly plated to support circuit.
  438. Chiang,Cheng Lien; Lin,Charles W. C., Semiconductor chip assembly with embedded metal particle.
  439. Leu,Chuen Rong; Lin,Charles W. C., Semiconductor chip assembly with embedded metal pillar.
  440. Leu,Chuen Rong; Lin,Charles W. C., Semiconductor chip assembly with embedded metal pillar.
  441. Lin, Charles W. C., Semiconductor chip assembly with hardened connection joint.
  442. Lin, Charles W. C., Semiconductor chip assembly with interlocked conductive trace.
  443. Lin, Charles W.C., Semiconductor chip assembly with interlocked conductive trace.
  444. Wang,Chia Chung; Lin,Charles W. C., Semiconductor chip assembly with interlocked contact terminal.
  445. Lin,Charles W. C.; Chen,Cheng Chung; Chiang,Cheng Lien, Semiconductor chip assembly with laterally aligned bumped terminal and filler.
  446. Lin,Charles W. C.; Chen,Cheng Chung, Semiconductor chip assembly with laterally aligned filler and insulative base.
  447. Lin,Charles W. C.; Wang,Chia Chung, Semiconductor chip assembly with metal containment wall and solder terminal.
  448. Wang,Chia Chung; Lin,Charles W. C., Semiconductor chip assembly with precision-formed metal pillar.
  449. Lin, Charles W. C., Semiconductor chip assembly with simultaneously electrolessly plated contact terminal and connection joint.
  450. Lin, Charles W. C., Semiconductor chip assembly with simultaneously electrolessly plated contact terminal and connection joint.
  451. Charles W. C. Lin SG, Semiconductor chip assembly with simultaneously electroplated contact terminal and connection joint.
  452. Lin, Charles W. C., Semiconductor chip assembly with simultaneously electroplated contact terminal and connection joint.
  453. Lin,Charles W. C., Semiconductor chip assembly with welded metal pillar.
  454. Lin, Charles W. C.; Chen, Cheng-Chung, Semiconductor chip assembly with welded metal pillar and enlarged plated contact terminal.
  455. Lin,Charles W. C.; Wang,Chia Chung, Semiconductor chip assembly with welded metal pillar of stacked metal balls.
  456. Lin,Charles W. C., Semiconductor chip assembly with welded metal pillar that includes enlarged ball bond.
  457. Paranjpe Ajit P. ; Moslehi Mehrdad M. ; Bubber Randhir S. ; Velo Lino A., Semiconductor chip interconnect barrier material and fabrication method.
  458. Juengling,Werner; Prall,Kirk D.; Iyer,Ravi; Sandhu,Gurtej S.; Blalock,Guy, Semiconductor constructions.
  459. Harada,Yusuke, Semiconductor device.
  460. Harada,Yusuke, Semiconductor device.
  461. Kim, Young Seok, Semiconductor device and method for fabricating the same.
  462. Nakagwa,Hideo; Tamaoka,Eiji; Kubota,Masafumi; Ueda,Tetsuya, Semiconductor device and method for fabricating the same.
  463. Inoue,Hiroaki; Susaki,Akira, Semiconductor device and method for manufacturing the same.
  464. Iwasaki, Tomio; Miura, Hideo, Semiconductor device and method for producing the same.
  465. Iwasaki, Tomio; Miura, Hideo, Semiconductor device and method for producing the same.
  466. Itabashi Takeyuki,JPX ; Haba Toshio,JPX ; Akahoshi Haruo,JPX, Semiconductor device and method of manufacturing the same.
  467. Sinha, Nishant, Semiconductor device components with conductive vias and systems including the components.
  468. Farooq, Mukta G.; Kinser, Emily R.; Melville, Ian D.; Semkow, Krystyna W., Semiconductor device having a copper plug.
  469. Farooq, Mukta G.; Kinser, Emily R.; Melville, Ian D.; Semkow, Krystyna Waleria, Semiconductor device having a copper plug.
  470. Takada,Kazuhiko, Semiconductor device having a guard ring.
  471. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  472. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  473. Yusuke Harada JP, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  474. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections having transparent dielectric substrate.
  475. Iwasaki,Tomio; Miura,Hideo, Semiconductor device having layered interconnect structure with a copper or platinum conducting film and a neighboring film.
  476. Iwasaki,Tomio; Miura,Hideo, Semiconductor device with layered interconnect structure.
  477. Kirby, Kyle K.; Farnworth, Warren M., Semiconductor devices and in-process semiconductor devices having conductor filled vias.
  478. Dennison, Charles H., Semiconductor processing methods.
  479. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  480. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  481. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  482. Meinhold, Dirk; Dallmann, Gerald; Vater, Alfred, Semiconductor structure and method for making same.
  483. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  484. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  485. Shue, Shau Lin; Wang, Mei Yun; Yu, Chen Hua, Sidewall coverage for copper damascene filling.
  486. Shue, Shau-Lin; Wang, Mei-Yun; Yu, Chen-Hua, Sidewall coverage for copper damascene filling.
  487. Shue,Shau Lin; Wang,Mei Yun; Yu,Chen Hua, Sidewall coverage for copper damascene filling.
  488. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  489. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  490. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  491. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  492. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  493. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  494. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  495. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  496. Lim, Yeow Kheng; See, Alex; Lee, Tae Jong; Vigar, David; Hsia, Liang Choo; Pey, Kin Leong, Slot designs in wide metal lines.
  497. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  498. Woo Christy Mei-Chu ; Joo Young-Chang, Storage-annealing plated CU interconnects.
  499. Jan, Chia-Hong, Structure and manufacturing process of localized shunt to reduce electromigration failure of copper dual damascene process.
  500. Jan,Chia Hong, Structure and manufacturing process of localized shunt to reduce electromigration failure of copper dual damascene process.
  501. Farrar, Paul A., Structures and methods to enhance copper metallization.
  502. Farrar, Paul A., Structures and methods to enhance copper metallization.
  503. Farrar, Paul A., Structures and methods to enhance copper metallization.
  504. Farrar,Paul A., Structures and methods to enhance copper metallization.
  505. Farrar,Paul A., Structures and methods to enhance copper metallization.
  506. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  507. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Akira, Substrate processing method.
  508. Lin, Charles W. C., Support circuit with a tapered through-hole for a semiconductor chip assembly.
  509. Omstead, Thomas R.; Wongsenakhum, Panya; Messner, William J.; Nagy, Edward J.; Starks, William E; Moslehi, Mehrdad M., System for fabricating a device on a substrate with a process gas.
  510. Schwarm,Alexander T., System, method, and medium for monitoring performance of an advanced process control system.
  511. Ivanov, Igor C., Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes.
  512. Ivanov, Igor C., Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes.
  513. Cheng Jerry ; Wang Fei, Tantalum barrier metal removal by using CF.sub.4 /o.sub.2 plasma dry etch.
  514. Surana,Rahul; Zutshi,Ajoy, Technique for process-qualifying a semiconductor manufacturing tool using metrology data.
  515. Li, Nanhai; Petrov, Nicolai; Kolics, Artur, Temperature control sequence of electroless plating baths.
  516. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  517. Lin,Charles W. C.; Chiang,Cheng Lien, Three-dimensional stacked semiconductor package with metal pillar in encapsulant aperture.
  518. Dubin, Valery, Through substrate via (TSuV) structures and method of making the same.
  519. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  520. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  521. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  522. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  523. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  524. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  525. Zhao, Larry; Kolics, Artur; Nalla, Praveen, Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch.
  526. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  527. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  528. Parker ; Jr. John LeRoy ; Miscikowski Pamela L., Via connector and method of making same.
  529. Parker, Jr., John L.; Miscikowski, Pamela L., Via connector and method of making same.
  530. Nogami Takeshi ; Dubin Valery M., Via with barrier layer for impeding diffusion of conductive material from via into insulator.
  531. Shen,Lee Cheng, Wafer level packaging structure with inductors and manufacture method thereof.
  532. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  533. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  534. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로