$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Use of cobalt tungsten phosphide as a barrier material for copper metallization 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-005/12
  • B05D-001/18
출원번호 US-0754600 (1996-11-20)
발명자 / 주소
  • Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA)
출원인 / 주소
  • Cornell Research Foundation, Inc. (Ithaca NY 02) Sematech, Inc. (Austin TX 02) Intel Corporation (Santa Clara CA 02)
인용정보 피인용 횟수 : 499  인용 특허 : 0

초록

A technique for electrolessly depositing a CoWP barrier material on to copper and electrolessly depositing copper onto a CoWP barrier material to prevent copper diffusion when forming layers and/or structures on a semiconductor wafer.

대표청구항

A method of electrolessly depositing copper onto a barrier layer which separates said copper from another layer disposed on an opposite side of said barrier layer from said copper, comprising the steps of: depositing cobalt-tungsten-phosphide, CoWP, electrolessly on an exposed surface of said anothe

이 특허를 인용한 특허 (499)

  1. Pacetti, Stephen Dirk; DesNoyer, Jessica; Chen, Yung Ming; Kleiner, Lothar; Hossainy, Syed F. A., Abluminal, multilayer coating constructs for drug-delivery stents.
  2. Kolics, Artur; Petrov, Nicolai; Ting, Chiu; Ivanov, Igor, Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper.
  3. Paik,Young J., Adjusting manufacturing process control parameter using updated process threshold derived from uncontrollable error.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Bera, Holger; Brunner, Heiko, Alkaline plating bath for electroless deposition of cobalt alloys.
  8. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  10. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  11. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  12. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  13. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  14. Dubin, Valery M.; Caillouette, Vincent R.; Thomas, Christopher D.; Cheng, Chin-Chang, Apparatus and method for electroless spray deposition.
  15. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  16. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  17. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  18. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  19. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  20. Chen, Yung Ming; Tang, Fuh Wei, Apparatus and method for electrostatic coating of an abluminal stent surface.
  21. Lopatin Sergey D. ; Cheung Robin W., Apparatus and method of encapsulated copper (Cu) Interconnect formation.
  22. Dubin, Valery M.; Moon, Peter K., Apparatus for an improved air gap interconnect structure.
  23. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  24. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  25. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  26. Schwarm,Alexander T., Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools.
  27. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  28. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  29. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  30. Datta, Amit, Barrier layer for electrical connectors and methods of applying the layer.
  31. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  32. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  33. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  34. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  35. He, Hong; Li, Juntao; Wang, Junli; Yang, Chih-Chao, Bottom-up metal gate formation on replacement metal gate finFET devices.
  36. Adkisson, James W.; Gambino, Jeffrey P.; Jaffe, Mark D.; Leidy, Robert K.; Rassel, Richard J.; Stamper, Anthony K., CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom.
  37. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  38. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  39. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  40. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  41. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  42. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  43. Lubomirsky, Dmitry, Chamber with flow-through source.
  44. Lubomirsky, Dmitry, Chamber with flow-through source.
  45. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  46. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  47. Sambucetti, Carlos Juan; Chen, Xiaomeng; Seo, Soon-Cheon; Agarwala, Birenda Nath; Hu, Chao-Kun; Lustig, Naftali Eliahu; Greco, Stephen Edward, Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect.
  48. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  49. Valverde,Charles; Petrov,Nicolai; Yakobson,Eric; Chen,Qingyun; Paneccasio, Jr.,Vincent; Hurtubise,Richard; Witt,Christian, Cobalt and nickel electroless plating in microelectronic devices.
  50. Nogami,Takeshi, Cobalt tungsten phosphate used to fill voids arising in a copper metallization process.
  51. Shacham-Diamand, Yosi; Sverdlov, Yelena, Cobalt tungsten phosphorus electroless deposition process and materials.
  52. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  53. Man, Hau-chung; Ng, Wing-yan; Yeung, Chi-hung; Lee, Chi-yung; Siu, Cho-lung; Tsui, Rick Y. C.; Yeung, Kinny L. K., Cobalt-tungsten-phosphorus alloy diffusion barrier coatings, methods for their preparation, and their use in plated articles.
  54. Bu, Xiaomei; See, Alex; Zhang, Fan; Hui, Jane; Lee, Tae Jong; Hsia, Liang Choo, Combined copper plating method to improve gap fill.
  55. Wirth, Alexandra, Compositions for the currentless deposition of ternary materials for use in the semiconductor industry.
  56. Wirth, Alexandra, Compositions for the currentless deposition of ternary materials for use in the semiconductor industry.
  57. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  58. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  59. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  60. Geusic, Joseph E.; Reinberg, Alan R., Conductive material patterning methods.
  61. Geusic, Joseph E.; Reinberg, Alan R., Conductive material patterning methods.
  62. Geusic,Joseph E.; Reinberg,Alan R., Conductive material patterning methods.
  63. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  64. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  65. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  66. Hussein,Makarem A., Continuous metal interconnects.
  67. Paik, Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  68. Paik,Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  69. Hues, Steven M.; Lovejoy, Michael L.; Mathew, Varughese, Controlled electroless plating.
  70. Hsiung Chiung-Sheng,TWX ; Hsieh Wen-Yi,TWX ; Lur Water,TWX, Copper damascene technology for ultra large scale integration circuits.
  71. Liu, Yu Wei; Tsai, Wen Ching; Huang, Kuo Yu; Lin, Hui Fen, Copper gate electrode of liquid crystal display device and method of fabricating the same.
  72. Liu, Yu-Wei; Tsai, Wen-Ching; Huang, Kuo-Yu; Lin, Hui-Fen, Copper gate electrode of liquid crystal display device and method of fabricating the same.
  73. Farrar Paul A., Copper metallurgy in integrated circuits.
  74. Farrar, Paul A., Copper metallurgy in integrated circuits.
  75. Shacham Diamand,Yossi; Sverdlov,Yelena, Copper molybdenum electroless deposition process and materials.
  76. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  77. Nagai, Mizuki; Okuyama, Shuichi; Kimizuka, Ryoichi; Kobayashi, Takeshi, Copper-plating liquid, plating method and plating apparatus.
  78. Leng, Yaojian; Chen, Linlin, Corrosion resistance for copper interconnects.
  79. Chen, Hsueh-Chung H.; He, Hong; Li, Juntao; Yang, Chih-Chao; Yin, Yunpeng, Critical dimension shrink through selective metal growth on metal hardmask sidewalls.
  80. Chen, Qingyun; Valverde, Charles; Paneccasio, Vincent; Petrov, Nicolai; Stritch, Daniel; Witt, Christian; Hurtubise, Richard, Defectivity and process control of electroless deposition in microelectronics applications.
  81. Chen, Qingyun; Valverde, Charles; Paneccasio, Vincent; Petrov, Nicolai; Stritch, Daniel; Witt, Christian; Hurtubise, Richard, Defectivity and process control of electroless deposition in microelectronics applications.
  82. Chen, Qingyun; Valverde, Charles; Paneccasio, Vincent; Petrov, Nicolai; Stritch, Daniel; Witt, Christian; Hurtubise, Richard, Defectivity and process control of electroless deposition in microelectronics applications.
  83. Chen,Qingyun; Valverde,Charles; Paneccasio,Vincent; Petrov,Nicolai; Stritch,Daniel; Witt,Christian; Hurtubise,Richard, Defectivity and process control of electroless deposition in microelectronics applications.
  84. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  85. Li, Dong, Deposition of ruthenium or ruthenium dioxide.
  86. Edelstein, Daniel C.; Colburn, Matthew E.; Cooney, III, Edward C.; Dalton, Timothy J.; Fitzsimmons, John A.; Gambino, Jeffrey P.; Huang, Elbert E.; Lane, Michael W.; McGahay, Vincent J.; Nicholson, Lee M.; Nitta, Satyanarayana V.; Purushothaman, Sampath; Sankaran, Sujatha; Shaw, Thomas M.; Simon, Andrew H.; Stamper, Anthony K., Device and methodology for reducing effective dielectric constant in semiconductor devices.
  87. Edelstein, Daniel C.; Colburn, Matthew E.; Cooney, III, Edward C.; Dalton, Timothy J.; Fitzsimmons, John A.; Gambino, Jeffrey P.; Huang, Elbert E.; Lane, Michael W.; McGahay, Vincent J.; Nicholson, Lee M.; Nitta, Satyanarayana V.; Purushothaman, Sampath; Sankaran, Sujatha; Shaw, Thomas M.; Simon, Andrew H.; Stamper, Anthony K., Device and methodology for reducing effective dielectric constant in semiconductor devices.
  88. Ren, He; Naik, Mehul B.; Cao, Yong; Shek, Mei-yee, Dielectric/metal barrier integration to prevent copper diffusion.
  89. Ren, He; Naik, Mehul B.; Cao, Yong; Shek, Mei-yee; Cheng, Yana; Kesapragada, Sree Rangasai V., Dielectric/metal barrier integration to prevent copper diffusion.
  90. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  91. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  92. Yang, Chih-Chao; Gignac, Lynne M.; Hu, Chao-Kun; Mittal, Surbhi, Discontinuous/non-uniform metal cap structure and process for interconnect integration.
  93. Yang, Chih-Chao; Gignac, Lynne M.; Hu, Chao-Kun; Mittal, Surbhi, Discontinuous/non-uniform metal cap structure and process for interconnect integration.
  94. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  95. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Doped metal germanide and methods for making the same.
  96. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  97. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  98. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  99. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  100. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  101. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  102. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  103. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  104. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  105. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  106. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  107. Yang, Chih-Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure.
  108. Yang, Chih-Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure.
  109. Yang, Chih Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure and method.
  110. Ward, Nicholas A.; Danielson, Richard; Corey, David B., Dynamic control of wafer processing paths in semiconductor manufacturing processes.
  111. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  112. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  113. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  114. Paik, Young Jeen, Dynamic offset and feedback threshold.
  115. Chi, Yueh-Shian; Hawkins, Parris C M; Huang, Charles Q., Dynamic subject information generation in message services of distributed object systems.
  116. Chi,Yueh shian T.; Hawkins,Parris C. M.; Huang,Charles Q., Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility.
  117. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  118. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  119. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  120. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  121. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  122. Chebiam, Ramanan V.; Dubin, Valery M., Electroless plating bath composition and method of using.
  123. Chebiam, Ramanan V.; Dubin, Valery M., Electroless plating bath composition and method of using.
  124. Inoue, Hiroaki; Nakamura, Kenji; Matsumoto, Moriji, Electroless plating liquid and semiconductor device.
  125. Inoue, Hiroaki; Nakamura, Kenji; Matsumoto, Moriji, Electroless plating liquid and semiconductor device.
  126. Kong, Bob; Li, Nanhai, Electroless plating solution and process.
  127. Chebiam,Ramanan V.; Dubin,Valery M., Electroless plating structure.
  128. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  129. Michael F. Stumborg ; Francisco Santiago ; Tak Kin Chu ; Kevin A. Boulais, Electronic devices with a barrier film and process for making same.
  130. Stumborg, Michael F.; Santiago, Francisco; Chu, Tak Kin; Boulais, Kevin A., Electronic devices with barium barrier film and process for making same.
  131. Stumborg, Michael F.; Santiago, Francisco; Chu, Tak Kin; Boulais, Kevin A., Electronic devices with cesium barrier film and process for making same.
  132. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  133. Stumborg, Michael F.; Santiago, Francisco; Chu, Tak Kin; Boulais, Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  134. Tak Kin Chu ; Francisco Santiago ; Kevin A. Boulais, Electronic devices with diffusion barrier and process for making same.
  135. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with rubidium barrier film and process for making same.
  136. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with strontium barrier film and process for making same.
  137. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with strontium barrier film and process for making same.
  138. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel I.; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  139. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  140. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  141. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  142. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  143. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  144. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  145. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  146. Krishnamurthy,Badri N.; Hawkins,Parris C. M., Experiment management system, method and medium.
  147. Cheung Robin ; Lopatin Sergey, Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug.
  148. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  149. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  150. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  151. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Prabhu, Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  152. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Prabhu,Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  153. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Iliopoulos, Ilias; Parkhomovsky, Alexander; Seamons, Martin J., Feedback control of plasma-enhanced chemical vapor deposition processes.
  154. Paik,Young Joseph, Feedforward and feedback control for conditioning of chemical mechanical polishing pad.
  155. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  156. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  157. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper and other metals.
  158. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  159. Farrar, Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  160. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  161. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  162. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  163. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Graphene cap for copper interconnect structures.
  164. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  165. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  166. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  167. Farrar,Paul A., Hplasma treatment.
  168. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  169. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  170. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  171. Farrar, Paul A., Integrated circuit and seed layers.
  172. Farrar,Paul A., Integrated circuit and seed layers.
  173. Farrar,Paul A., Integrated circuit and seed layers.
  174. Yang, Chih-Chao; Horak, David V.; Koburger, Charles W.; Ponoth, Shom, Integrated circuit structure having selectively formed metal cap.
  175. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Integrated circuit structure having selectively formed metal cap.
  176. Dubin,Valery M., Integrated circuit with metal layer having carbon nanotubes and methods of making same.
  177. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  178. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  179. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  180. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integrating tool, module, and fab level control.
  181. Reiss,Terry P.; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integration of fault detection with run-to-run control.
  182. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Interconnect structure.
  183. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Interconnect structure and method of fabrication of same.
  184. Yang,Chih Chao; Clevenger,Lawrence A.; Cowley,Andrew P.; Dalton,Timothy J.; Yoon,Meeyoung H., Interconnect structure and method of fabrication of same.
  185. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  186. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  187. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  188. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Interconnect structure containing various capping materials for electrical fuse and other related applications.
  189. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Interconnect structure containing various capping materials for programmable electrical fuses.
  190. Darnon, Maxime; Gambino, Jeffrey P.; Huang, Elbert E.; Lin, Qinghuang, Interconnect structure fabricated without dry plasma etch processing.
  191. Horak, David V.; Ponoth, Shom; Yang, Chih-Chao, Interconnect structure for electromigration enhancement.
  192. Lopatin, Sergey; Wang, Fei; Schonauer, Diana; Avanzino, Steven C., Interconnect structure formed in porous dielectric material with minimized degradation and electromigration.
  193. Yang, Chih Chao; Wang, Ping Chuan; Wang, Yun Yu, Interconnect structure having enhanced electromigration reliability and a method of fabricating same.
  194. Yang, Chih-Chao; Wang, Ping-Chuan; Wang, Yun-Yu, Interconnect structure having enhanced electromigration reliability and a method of fabricating same.
  195. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Interconnect structures and a method of electroless introduction of interconnect structures.
  196. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  197. Yang, Chih-Chao; Cohen, Stephan A., Interconnect structures containing nitrided metallic residues.
  198. Yang, Chih-Chao; Cohen, Stephan A., Interconnect structures containing nitrided metallic residues.
  199. Wong,Kwong Hon; Hsu,Louis C.; Dalton,Timothy J.; Radens,Carol; Yang,Chih Chao; Clevenger,Lawrence A.; Standaert,Theodorus E., Interconnect structures with encasing cap and methods of making thereof.
  200. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Interconnect structures, design structure and method of manufacture.
  201. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Yukio; Fukunaga,Akira, Interconnects forming method and interconnects forming apparatus.
  202. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Yukio; Fukunaga,Akira, Interconnects forming method and interconnects forming apparatus.
  203. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  204. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  205. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  206. Wu, Hui-Jung; Knisley, Thomas Joseph; Shankar, Nagraj; Shen, Meihua; Hoang, John; Sharma, Prithu, Liner and barrier applications for subtractive metal integration.
  207. Wu, Hui-Jung; Knisley, Thomas Joseph; Shankar, Nagraj; Shen, Meihua; Hoang, John; Sharma, Prithu, Liner and barrier applications for subtractive metal integration.
  208. Brigante,Jeffrey Alan; He,Zhong Xiang; Waterhouse,Barbara Ann; White,Eric Jeffrey, Low cost bonding pad and method of fabricating same.
  209. Gambino, Jeffrey P.; Gill, Jason P.; Smith, Sean; Wynne, Jean E., Low leakage metal-containing cap process using oxidation.
  210. Gambino, Jeffrey P.; Gill, Jason P.; Smith, Sean; Wynne, Jean E., Low leakage metal-containing cap process using oxidation.
  211. Lopatin Sergey D., Low resistivity semiconductor barrier layer manufacturing method.
  212. Lopatin Sergey D., Low resistivity semiconductor barrier layers and manufacturing method therefor.
  213. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  214. Nuetzel,Joachim; Ning,Xian Jay; Wille,William C., Magnetic random access memory and method of fabricating thereof.
  215. Restaino,Darryl D.; Canaperi,Donald F.; Rubino,Judith M.; Smith,Sean P. E.; Henry,Richard O.; Fluegel,James E.; Krishnan,Mahadevaiyer, Manufacturable CoWP metal cap process for copper interconnects.
  216. Restaino,Darryl D.; Canaperi,Donald F.; Rubino,Judith M.; Smith,Sean P. E.; Henry,Richard O.; Fluegel,James E.; Krishnan,Mahadevaiyer, Manufacturable CoWP metal cap process for copper interconnects.
  217. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  218. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  219. Yang, Chih-Chao; Bergendahl, Marc A.; Holmes, Steven J.; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Metal alloy cap integration.
  220. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Metal cap for back end of line (BEOL) interconnects, design structure and method of manufacture.
  221. Yang, Chih-Chao; Wang, Ping-Chuan; Wang, Yun-Yu, Metal cap for interconnect structures.
  222. Yang, Chih-Chao; Edelstein, Daniel C., Metal cap with ultra-low k dielectric material for circuit interconnect applications.
  223. Yang, Chih-Chao; Edelstein, Daniel C., Metal cap with ultra-low κ dielectric material for circuit interconnect applications.
  224. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  225. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  226. Dubin,Valery M.; Moon,Peter K., Method and apparatus for an improved air gap interconnect structure.
  227. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Yukio; Fukunaga,Akira; Owatari,Akira, Method and apparatus for forming metal film.
  228. Adams John A. ; Krulik Gerald A. ; Smith Everett D., Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly.
  229. Adams John A. ; Krulik Gerald A. ; Smith Everett D., Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly.
  230. Dubin, Valery M.; Moon, Peter K., Method for an improved air gap interconnect structure.
  231. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  232. Kolics, Artur; Petrov, Nicolai; Ting, Chiu; Ivanov, Igor C., Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation.
  233. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  234. Lee, Dok Won; Kim, Dong Joon, Method for fabricating magnetic field sensor.
  235. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  236. Sambucetti Carlos Juan ; Rubino Judith Marie ; Edelstein Daniel Charles ; Cabral ; Jr. Cyryl ; Walker George Frederick ; Gaudiello John G ; Wildman Horatio Seymour, Method for forming Co-W-P-Au films.
  237. Sambucetti, Carlos Juan; Rubino, Judith Marie; Edelstein, Daniel Charles; Cabral, Jr., Cyryl; Walker, George Frederick; Gaudiello, John G; Wildman, Horatio Seymour, Method for forming Co-W-P-Au films.
  238. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  239. Shinriki, Hiroshi; Jeong, Daekyun, Method for forming Ta-Ru liner layer for Cu wiring.
  240. Goldberg, Cindy K.; Filipiak, Stanley Michael; Flake, John C.; Lii, Yeong-Jyh T.; Smith, Bradley P.; Solomentsev, Yuri E.; Sparks, Terry G.; Strozewski, Kirk J.; Yu, Kathleen C., Method for forming a passivation layer for air gap formation.
  241. Andricacos, Panayotis Constantinou; Cabral, Jr., Cyril; Parks, Christopher Carr; Rodbell, Kenneth Parker; Tsai, Roger Yen-Luen, Method for forming electromigration-resistant structures by doping.
  242. Shinriki, Hiroshi; Namba, Kunitoshi; Jeong, Daekyun, Method for forming metal film by ALD using beta-diketone metal complex.
  243. McFeely, Fenton R.; Yang, Chih-Chao, Method for improving the selectivity of a CVD process.
  244. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby.
  245. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  246. Dubin, Valery, Method for making interconnects and diffusion barriers in integrated circuits.
  247. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  248. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Method for selectively coating surfaces of a stent.
  249. Adams John A. ; Krulik Gerald A. ; Smith Everett D., Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly.
  250. Ivanov, Igor C.; Zhang, Weiguo; Kolics, Artur, Method for strengthening adhesion between dielectric layers formed adjacent to metal layers.
  251. Andreas,Michael T., Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution.
  252. Yu Allen S. ; Steffan Paul J. ; Scholer Thomas C., Method of defining copper seed layer for selective electroless plating processing.
  253. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  254. Segawa, Yuji; Yoshio, Akira; Suzuki, Masatoshi; Watanabe, Katsumi; Sato, Shuzo, Method of electroless plating and electroless plating apparatus.
  255. Sergey D. Lopatin ; Robin W. Cheung, Method of encapsulated copper (Cu) interconnect formation.
  256. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Method of fabrication of interconnect structures.
  257. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Pan,Rong; Hernandez,Manuel; Mohammad,Amna, Method of feedback control of sub-atmospheric chemical vapor deposition processes.
  258. Ko, Jungmin, Method of fin patterning.
  259. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Method of forming a graphene cap for copper interconnect structures.
  260. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Method of forming a graphene cap for copper interconnect structures.
  261. Yang, Chih Chao; Chanda, Kaushik; Clevenger, Lawrence A.; Wang, Yun Yu; Yang, Daewon, Method of forming an interconnect including a dielectric cap having a tensile stress.
  262. Kostamo, Juhana; Soininen, Pekka J.; Elers, Kai-Erik; Haukka, Suvi, Method of growing electrical conductors.
  263. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby.
  264. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  265. Ning, Xian J., Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation.
  266. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Method of manufacturing an interconnect structure and design structure thereof.
  267. Gambino,Jeffrey P.; Motsiff,William T.; Walton,Erick G., Method of manufacturing high performance copper inductors with bond pads.
  268. Tsuchiya, Yasuaki; Kubo, Akira, Method of manufacturing semiconductor device.
  269. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of preparing passivated copper line and device manufactured thereby.
  270. Yan,John Y.; Chan,Randy, Method of reducing or eliminating thrombus formation.
  271. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  272. Cheung, Robin; Dordi, Yezdi; Tseng, Jennifer, Method of treating a substrate.
  273. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method to eliminate dishing of copper interconnects.
  274. Chan Lap ; Li Sam Fong Yau,SGX ; Ng Hou Tee,SGX, Method to encapsulate copper plug for interconnect metallization.
  275. Gupta, Subhash; Chern, Chyi S.; Zhou, Mei Sheng, Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier.
  276. Kokotov,Yuri; Entin,Efim; Seror,Jacques; Fisher,Yossi; Sarel,Shalomo; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Paik,Young Jeen, Method, system and medium for controlling manufacture process having multivariate input parameters.
  277. Al Bayati,Amir; Adibi,Babak; Foad,Majeed; Somekh,Sasson, Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements.
  278. Shanmugasundram,Arulkumar P.; Armer,Helen; Schwarm,Alexander T., Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities.
  279. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Seror,Jacques; Kokotov,Yuri; Entin,Efim, Method, system, and medium for handling misrepresentative metrology data within an advanced process control system.
  280. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  281. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  282. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  283. Kolics, Artur, Methods and materials for anchoring gapfill metals.
  284. Kolics, Artur, Methods and materials for anchoring gapfill metals.
  285. Ivanov, Igor C.; Zhang, Weiguo, Methods and system for processing a microelectronic topography.
  286. Ivanov, Igor C.; Zhang, Weiguo, Methods and systems for processing a microelectronic topography.
  287. Ivanov,Igor C.; Zhang,Weiguo, Methods and systems for processing a microelectronic topography.
  288. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  289. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Methods for depositing nickel films and for making nickel silicide and nickel germanide.
  290. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  291. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  292. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  293. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  294. Ahn Kie Y. ; Forbes Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  295. Ahn,Kie Y.; Forbes,Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  296. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  297. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  298. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  299. Valery Dubin, Methods for making interconnects and diffusion barriers in integrated circuits.
  300. Kim, Jong Su; Park, Hyung Sang, Methods of depositing a ruthenium film.
  301. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications.
  302. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  303. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  304. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  305. Zhong,Ting; Hua,Fay; Dubin,Valery M., Methods to deposit metal alloy barrier layers.
  306. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  307. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  308. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  309. Ahn, Kie Y.; Geusic, Joseph E., Multilevel copper interconnects for ultra large scale integration.
  310. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  311. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  312. Kevin Petrarca ; Robert A. Groves ; Brian Herbst ; Christopher Jahnes ; Richard Volant, Multiposition micro electromechanical switch.
  313. Craig, Charles H.; Papp, John E.; Jayasinghe, Dudley; Hines, Lionel G.; Orosa, Dennis, Nanobead releasing medical devices.
  314. Alers, Glenn; Havemann, Robert H., Nanoparticle cap layer.
  315. Alers, Glenn; Havemann, Robert H., Nanoparticle cap layer.
  316. Yang, Chih-Chao; Cohen, Stephan A.; Liniger, Eric G., Nanoscale interconnect structure.
  317. Yang, Chih-Chao; Cohen, Stephan A.; Liniger, Eric G., Nanoscale interconnect structure.
  318. Ludwig, Florian N., Nanoshell therapy.
  319. Ludwig, Florian Niklas, Nanoshell therapy.
  320. Ludwig, Florian N.; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells for drug delivery.
  321. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  322. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  323. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  324. Park, Heung L., Nitridation of electrolessly deposited cobalt.
  325. Yang, Chih-Chao; Hu, Chao-Kun, Nitrogen-containing metal cap for interconnect structures.
  326. Yang, Chih-Chao; Edelstein, Daniel C.; McFeely, Fenton R., Noble metal cap for interconnect structures.
  327. Yang, Chih-Chao; Edelstein, Daniel C.; McFeely, Fenton R., Noble metal cap for interconnect structures.
  328. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  329. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  330. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  331. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  332. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  333. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  334. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  335. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  336. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  337. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  338. Ning, Xian J., Plate-through hard mask for MRAM devices.
  339. Dai, Haixia; Pakbaz, Khashayar; Spaid, Michael; Nikiforov, Theo, Plating bath and surface treatment compositions for thin film deposition.
  340. Inoue,Hiroaki; Susaki,Akira, Plating method including pretreatment of a surface of a base metal.
  341. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  342. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  343. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  344. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  345. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  346. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  347. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  348. Paik,Young J., Process control by distinguishing a white noise component of a process variance.
  349. Paik,Young Jeen, Process control by distinguishing a white noise component of a process variance.
  350. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  351. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Process for making a semiconductor device with barrier film formation using a metal halide and products thereof.
  352. Chu, Tak Kin; Santiago, Francisco; Boulais, Kevin A., Process for making electronic devices having a monolayer diffusion barrier.
  353. Ponoth, Shom; Yang, Chih-Chao, Process methods for advanced interconnect patterning.
  354. Hussein Makarem A., Process to manufacture continuous metal interconnects.
  355. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Processes for making electronic devices with rubidum barrier film.
  356. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  357. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  358. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  359. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  360. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  361. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  362. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  363. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  364. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  365. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  366. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  367. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  368. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  369. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  370. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  371. Christenson, Kurt Karl, Reagent activator for electroless plating.
  372. Christenson,Kurt Karl, Reagent activator for electroless plating.
  373. Hu,Chao Kun; Rosenberg,Robert; Rubino,Judith M.; Sambucetti,Carlos J.; Stamper,Anthony K., Reduced electromigration and stressed induced migration of copper wires by surface coating.
  374. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  375. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  376. DellaGuardia,Ronald A.; Edelstein,Daniel C.; Hichri,Habib; McGahay,Vincent J., Reducing damage to ulk dielectric during cross-linked polymer removal.
  377. Edelstein, Daniel C.; Colburn, Matthew E.; Cooney, III, Edward C.; Dalton, Timothy J.; Fitzsimmons, John A.; Gambino, Jeffrey P.; Huang, Elbert E.; Lane, Michael W.; McGahay, Vincent J.; Nicholson, Lee M.; Nitta, Satyanarayana V.; Purushothaman, Sampath; Sankaran, Sujatha; Shaw, Thomas M.; Simon, Andrew H.; Stamper, Anthony K., Reducing effective dielectric constant in semiconductor devices.
  378. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  379. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  380. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  381. Cowley, Andy; Stetter, Michael; Kaltalioglu, Erdem, Robust via structure and method.
  382. Van Sciver, Jason, Rotatable support elements for stents.
  383. Van Sciver, Jason, Rotatable support elements for stents.
  384. Van Sciver, Jason, Rotatable support elements for stents.
  385. Van Sciver, Jason, Rotatable support elements for stents.
  386. Van Sciver, Jason, Rotatable support elements for stents.
  387. Shinriki, Hiroshi; Inoue, Hiroaki, Ruthenium alloy film for copper interconnects.
  388. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  389. Lee, Cheng-Shih; Chang, Yi, Schottky structure in GaAs semiconductor device.
  390. Dai, Haixia; Pakbaz, Khashayar; Spaid, Michael; Nikiforov, Theo, Seed layers, cap layers, and thin films and methods of making thereof.
  391. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  392. Alers, Glenn; Draeger, Nerissa; Carolus, Michael; Carolus, legal representative, Julie, Selective capping of copper.
  393. Hossainy,Syed F. A.; Mirzaee,Daryush, Selective coating of medical devices.
  394. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  395. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  396. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  397. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  398. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  399. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  400. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  401. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  402. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  403. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  404. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  405. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  406. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  407. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  408. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  409. Kilpelä,Olli V.; Koh,Wonyong; Huotari,Hannu A.; Tuominen,Marko; Leinikka,Miika, Selective formation of metal layers in an integrated circuit.
  410. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  411. Padhi,Deenesh; Gandikota,Srinivas; Naik,Mehul; Parikh,Suketu A.; Dixit,Girish A., Selective metal encapsulation schemes.
  412. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  413. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  414. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  415. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  416. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  417. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  418. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  419. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  420. Tochterman, Andrew J.; Fox, William J.; Harold, Nathan, Selectively coating luminal surfaces of stents.
  421. Ning, Xian J., Self-aligned conductive line for cross-point magnetic memory integrated circuits.
  422. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  423. Yang, Kai; Nogami, Takeshi; Brown, Dirk; Pramanick, Shekhar, Self-aligned semiconductor interconnect barrier and manufacturing method therefor.
  424. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  425. Sergey D. Lopatin ; Carl J. Galewski, Semiconductor catalytic layer and atomic layer deposition thereof.
  426. Liu, Chung-Shi; Yu, Chen-Hua, Semiconductor contact barrier.
  427. Liu, Chung-Shi; Yu, Chen-Hua, Semiconductor contact barrier.
  428. Liu, Chung-Shi; Yu, Chen-Hua, Semiconductor contact barrier.
  429. Inoue,Hiroaki; Susaki,Akira, Semiconductor device and method for manufacturing the same.
  430. Tsumura,Kazumichi; Usui,Takamasa, Semiconductor device and method for manufacturing the same.
  431. Ryu, Sang Wook; Park, Jin Ho, Semiconductor device and method of manufacturing the same.
  432. Ueno, Kazuyoshi, Semiconductor device and method of manufacturing the same.
  433. Nogami, Takeshi; Komai, Naoki; Kito, Hideyuki; Taguchi, Mitsuru, Semiconductor device having a conductive layer with a cobalt tungsten phosphorus coating and a manufacturing method thereof.
  434. Boemmels, Juergen; Lehr, Matthias; Richter, Ralf, Semiconductor device having a grain orientation layer.
  435. Nakano, Hiroshi; Itabashi, Takeyuki; Akahoshi, Haruo, Semiconductor device having cobalt alloy film with boron.
  436. Sun, Sey-Ping; Lee, Tsung-Lin; Lin, Chin-Hsiang; Chang, Chih-Hao; Yeh, Chen-Nan; Jong, Chao-An, Semiconductor device having elevated structure.
  437. Ueno, Kazuyoshi, Semiconductor device manufacturing apparatus and semiconductor device manufacturing method.
  438. Ueno, Kazuyoshi, Semiconductor device manufacturing apparatus and semiconductor device manufacturing method.
  439. Ueno,Kazuyoshi, Semiconductor device manufacturing apparatus and semiconductor device manufacturing method.
  440. Murray, Conal E.; Yang, Chih-Chao, Semiconductor device with reduced via resistance.
  441. Murray, Conal E.; Yang, Chih-Chao, Semiconductor device with reduced via resistance.
  442. Murray, Conal E.; Yang, Chih-Chao, Semiconductor device with reduced via resistance.
  443. Lopatin Sergey D. ; Pramanick Shekhar ; Brown Dirk, Semiconductor metalization barrier.
  444. Mathew, Varughese; Garcia, Sam S.; Prindle, Christopher M., Semiconductor process and composition for forming a barrier material overlying copper.
  445. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  446. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  447. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  448. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  449. Chanda, Kaushik; Filippi, Ronald G.; Wang, Ping-Chuan; Yang, Chih-Chao, Semiconductor wiring structures including dielectric cap within metal cap layer.
  450. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  451. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  452. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  453. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  454. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  455. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  456. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  457. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  458. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  459. Beck,Michael; Hong,Bee Kim; Tilke,Armin; Wendt,Hermann, Single damascene with disposable stencil and method therefore.
  460. Kolics, Artur; Petrov, Nicolai; Ting, Chiu; Ivanov, Igor C., Solution composition and method for electroless deposition of coatings free of alkali metals.
  461. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  462. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  463. Fox,Jason; Harold,Nathan; Templin,Barry; Tochterman,Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  464. Pacetti,Stephen D.; Villareal,Plaridel K., Stent mounting assembly and a method of using the same to coat a stent.
  465. Chen, Chung-Hsien; Ko, Ting-Chu; Chang, Chih-Hao; Chang, Chih-Sheng; Chang, Shou-Zen; Wann, Clement Hsingjen, Strained structure of a semiconductor device.
  466. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Structure for interconnect structure containing various capping materials for electrical fuse and other related applications.
  467. Edelstein, Daniel C.; Nogami, Takeshi; Wang, Ping-Chuan; Wang, Yun-Yu; Yang, Chih-Chao, Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method.
  468. Farrar, Paul A., Structures and methods to enhance copper metallization.
  469. Farrar, Paul A., Structures and methods to enhance copper metallization.
  470. Farrar, Paul A., Structures and methods to enhance copper metallization.
  471. Farrar,Paul A., Structures and methods to enhance copper metallization.
  472. Farrar,Paul A., Structures and methods to enhance copper metallization.
  473. Yang, Chih-Chao; Hu, Chao-Kun, Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects.
  474. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  475. Wang,Xinming; Takagi,Daisuke; Tashiro,Akihiko; Fukunaga,Akira, Substrate processing method.
  476. Yang, Chih-Chao; Nitta, Satya V.; Purushothaman, Sampath; Sankarapandian, Muthumanickam, Surface treatment for selective metal cap applications.
  477. Chen, Yung Ming; Ho, Henjen, System and method for coating an implantable medical device.
  478. Schwarm,Alexander T., System, method, and medium for monitoring performance of an advanced process control system.
  479. Ivanov, Igor C., Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes.
  480. Ivanov, Igor C., Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes.
  481. Surana,Rahul; Zutshi,Ajoy, Technique for process-qualifying a semiconductor manufacturing tool using metrology data.
  482. Li, Nanhai; Petrov, Nicolai; Kolics, Artur, Temperature control sequence of electroless plating baths.
  483. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  484. Alford, Terry; Das, Sayantan, Thin film devices and methods for preparing thin film devices.
  485. Dubin, Valery, Through substrate via (TSuV) structures and method of making the same.
  486. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  487. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  488. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  489. Murray, Conal E.; Yang, Chih-Chao, Treating copper interconnects.
  490. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  491. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  492. Li, Juntao; Yang, Chih-Chao; Yin, Yunpeng, Ultra-thin metal wires formed through selective deposition.
  493. Lai Jane-Bai,TWX ; Chen Lih-Juann,TWX ; Liu Chung-Shi,TWX ; Yu Chen-Hua Douglas,TWX, Use of a low resistivity Cu.sub.3 Ge interlayer as an adhesion promoter between copper and tin layers.
  494. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  495. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  496. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  497. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  498. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  499. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로