$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/58
출원번호 US-0707027 (1996-09-10)
발명자 / 주소
  • Chiang Chien
  • Fraser David B.
출원인 / 주소
  • Intel Corporation
대리인 / 주소
    Blakely, Sokoloff, Taylor & Zafman
인용정보 피인용 횟수 : 270  인용 특허 : 24

초록

A method for forming interconnections for semiconductor fabrication and semiconductor devices have such interconnections are described. A first patterned dielectric layer is formed over a semiconductor substrate and has a first opening filed with conductive material. Another patterned dielectric lay

대표청구항

[ What is claimed is:] [1.] A semiconductor device comprising:(a) a semiconductor substrate;(b) a first patterned dielectric layer disposed over the semiconductor substrate, the first patterned dielectric layer having a first dielectric material and having a first opening filled with first conductiv

이 특허에 인용된 특허 (24)

  1. Beyer Klaus D. (Poughkeepsie NY) Guthrie William L. (Poughkeepsie NY) Makarewicz Stanley R. (New Windsor NY) Mendel Eric (Poughkeepsie NY) Patrick William J. (Newburgh NY) Perry Kathleen A. (Lagrange, Chem-mech polishing method for producing coplanar metal/insulator films on a substrate.
  2. Joseph Robert R. (Poughkeepsie NY) Wong Man-Chong (Poughkeepsie NY), Composite insulator structure.
  3. Feldman Leonard C. (Berkeley Heights NJ) Higashi Gregg S. (Basking Ridge NJ) Mak Cecilia Y. (Bedminster NJ) Miller Barry (Murray Hill NJ), Fabrication of electronic devices by electroless plating of copper onto a metal silicide.
  4. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  5. Coleman ; Jr. Donald J. (Plano TX), Iterative self-aligned contact metallization process.
  6. Ghate Prabhakar B. (Dallas TX) Wilson Arthur M. (Richardson TX) Fuller Clyde R. (Plano TX), Maximum density interconnections for large scale integrated circuits.
  7. Barber Jeffrey R. (Pittsburgh PA) Breiten Charles P. (Manassass VA) Stanasolovich David (Manassas VA) Theisen Jacob F. (Manassas VA), Method for making borderless contacts.
  8. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  9. Doan Trung T. (Boise ID), Method of etching back of tungsten layers on semiconductor wafers, and solution therefore.
  10. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), Method of fabricating a high performance interconnect system for an integrated circuit.
  11. Ku San-Mei (3 Carnelli Ct. Poughkeepsie NY 12603) Perry Kathleen A. (22120 Viscanio Rd. Woodland Hills CA 91364), Method of forming contacts to a semiconductor device.
  12. Tsuji Hitoshi (Yokohama JPX) Kato Tiharu (Yokohama JPX) Takaoki Kiyoshi (Ebina JPX), Method of manufacturing a semiconductor device involving sidewall spacer formation.
  13. Mo Roy (Flushing NY), Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition.
  14. Logan Joseph S. (Poughkeepsie NY) Mauer ; IV John L. (Sherman CT) Rothman Laura B. (Sherman CT) Schwartz Geraldine C. (Poughkeepsie NY) Standley Charles L. (Wappingers Falls NY), Planar multi-level metal process with built-in etch stop.
  15. Li Jian (Ithaca NY) Colgan Evan (Suffern NY) Mayer James W. (Ithaca NY), Process for fabricating copper interconnects in ultra large scale integrated (ULSI) circuits.
  16. Nair Krishna K. (Binghamton NY) Snyder Keith A. (Vestal NY), Process for making multilayer integrated circuit substrate.
  17. Anderson Dirk N. (Plano TX), Process for making vertically-oriented interconnections for VLSI devices.
  18. Joshi Rajiv V. (Yorktown Heights NY) Cuomo Jerome J. (Lincolndale NY) Dalal Hormazdyar M. (Milton NY) Hsu Louis L. (Fishkill NY), Refractory metal capped low resistivity metal conductor lines and vias.
  19. Ahmad Umar M. (Hopewell Junction NY) Berger Daniel G. (Poughkeepsie NY) Kumar Ananda (Hopewell Junction NY) LaMaire Susan J. (Yorktown Heights NY) Prasad Keshav B. (New Paltz NY) Ray Sudipta K. (Wapp, Selective plating method for forming integral via and wiring layers.
  20. Li Jian (Ithaca NY) Mayer James W. (Phoenix AZ) Colgan Evan G. (Suffern NY) Gambino Jeffrey P. (Gaylordsville CT), Self-aligned process for capping copper lines.
  21. Fuller Clyde R. (Plano TX) Delaney Joseph B. (Dallas TX) Skinner Robbie W. (Plano TX), Semiconductor circuit having metallization with TiW.
  22. Dennison Charles H. (Boise ID), Semiconductor electrical interconnection methods.
  23. Carey David H. (Austin TX) Pietila Douglass A. (Puyallup WA) Sigmond David M. (Austin TX), Trenching techniques for forming channels, vias and components in substrates.
  24. Cote William J. (Essex Junction VT) Kaanta Carter W. (Colchester VT) Leach Michael A. (Winooski VT) Paulsen James K. (Jericho VT), Via-filling and planarization technique.

이 특허를 인용한 특허 (270)

  1. M'Saad, Hichem; Tribula, Dana; Vellaikal, Manoj; Moghadam, Farhad; Desai, Sameer, Apparatus for improving barrier layer adhesion to HDP-FSG thin films.
  2. Cho, Chih-Chen, Backend metallization method and device obtained therefrom.
  3. Cho,Chih Chen, Backend metallization method and device obtained therefrom.
  4. Hichem M'Saad ; Seon Mee Cho ; Dana Tribula, Barrier layer deposition using HDP-CVD.
  5. M'Saad, Hichem; Cho, Seon Mee; Tribula, Dana, Barrier layer deposition using HDP-CVD.
  6. Lee William Wei-Yen, Borderless contacts for dual-damascene interconnect process.
  7. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Boron-doped SIC copper diffusion barrier films.
  8. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  9. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  10. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  11. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  12. Cho, Seon-Mee; Lee, Peter Wai-Man; Lang, Chi-I; Sugiarto, Dian; Chen, Chen-An; Xia, Li-Qun; Venkataraman, Shankar; Yieh, Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  13. Cho,Seon Mee; Lee,Peter Wai Man; Lang,Chi I; Sugiarto,Dian; Chen,Chen An; Xia,Li Qun; Venkataraman,Shankar; Yieh,Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  14. Derryl D. J. Allman ; Brian Bystedt, Capacitor with multiple-component dielectric and method of fabricating same.
  15. Allman, Derryl D. J.; Mansour, Nabil; Saopraseuth, Ponce, Capacitor with stoichiometrically adjusted dielectric and method of fabricating same.
  16. Kevin J. Lee, Chemical-mechanical polishing slurry.
  17. Lee Kevin J., Chemical-mechanical polishing slurry.
  18. Lee Kevin J., Chemical-mechanical polishing slurry.
  19. Huemoeller, Ronald Patrick; Rusli, Sukianto, Circuit-on-foil process for manufacturing a laminated semiconductor package substrate having embedded conductive patterns.
  20. Huemoeller,Ronald Patrick; Rusli,Sukianto, Circuit-on-foil process for manufacturing a laminated semiconductor package substrate having embedded conductive patterns.
  21. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  22. Akram Salman, Conductive bumps on die for flip chip application.
  23. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  24. Farrar Paul A., Copper metallurgy in integrated circuits.
  25. Farrar, Paul A., Copper metallurgy in integrated circuits.
  26. Burrell,Lloyd G.; Cooney, III,Edward E.; Gambino,Jeffrey P.; Heidenreich, III,John E.; Lee,Hyun Koo; Levy,Mark D.; Li,Baozhen; Luce,Stephen E.; McDevitt,Thomas L.; Stamper,Anthony K.; Wong,Kwong Hon;, Copper to aluminum interlayer interconnect using stud and via liner.
  27. Burrell,Lloyd G.; Cooney, III,Edward E.; Gambino,Jeffrey P.; Heidenreich, III,John E.; Lee,Hyun Koo; Levy,Mark D.; Li,Baozhen; Luce,Stephen E.; McDevitt,Thomas L.; Stamper,Anthony K.; Wong,Kwong Hon;, Copper to aluminum interlayer interconnect using stud and via liner.
  28. Chooi, Simon; Xu, Yi; Zhou, Mei Sheng, Damascene structure with reduced capacitance using a boron carbon nitride passivation layer, etch stop layer, and/or cap layer.
  29. Varadarajan, Bhadri N.; Gong, Bo; Yuan, Guangbi; Gui, Zhe; Lai, Fengyuan, Densification of silicon carbide film using remote plasma treatment.
  30. Yu, Yongsik; Subramonium, Pramod; Fang, Zhiyuan; Henri, Jon; Apen, Elizabeth; Vitkavage, Dan, Diffusion barrier and etch stop films.
  31. Fei Wang ; Jerry Cheng ; Simon S. Chan ; Todd Lukanc, Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers.
  32. Wang Fei ; Cheng Jerry ; Chan Simon S. ; Lukanc Todd, Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers.
  33. Fei Wang ; Jerry Cheng ; Darrell M. Erb, Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer.
  34. Wang Fei ; Cheng Jerry ; Lukanc Todd, Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer.
  35. Trivedi, Jigish D.; Violette, Mike P., Dual damascene interconnect.
  36. Trivedi, Jigish D.; Violette, Mike P., Dual damascene interconnect.
  37. Gambino,Jeffrey; Cooney, III,Edward; Stamper,Anthony; Motsiff,William Thomas; Lane,Michael; Simon,Andrew, Dual damascene interconnect structures having different materials for line and via conductors.
  38. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  39. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  40. Jang Syun-Ming,TWX, Dual damascene method employing spin-on polymer (SOP) etch stop layer.
  41. Rangarajan Bharath ; Subramanian Ramkumar ; Singh Bhanwar, Dual damascene method for backened metallization using poly stop layers.
  42. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  43. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  44. Ross, Matthew F., Electron beam process during damascene processing.
  45. Ross Matthew F., Electron beam process during dual damascene processing.
  46. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  47. Okamoto Shigeru,JPX, Embedded electroconductive layer and method for formation thereof.
  48. Okamoto, Shigeru, Embedded electroconductive layer structure.
  49. Huemoeller, Ronald Patrick; Rusli, Sukianto; Hiner, David Jon; Karim, Nozad Osman, Embedded metal features structure.
  50. Huemoeller, Ronald Patrick; Rusli, Sukianto; Hiner, David Jon, Encapsulated semiconductor package.
  51. Gregory A. Johnson ; Kunal Taravade ; Gayle Miller, Encapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same.
  52. Hiner, David Jon; Huemoeller, Ronald Patrick, Extended landing pad substrate package structure and method.
  53. Hiner, David Jon; Huemoeller, Ronald Patrick, Extended landing pad substrate package structure and method.
  54. Yew Tri-Rung,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX ; Huang Yimin,TWX, Fabricating method of a barrier layer.
  55. Saito,Tatsuyuki; Noguchi,Junji; Yamaguchi,Hizuru; Owada,Nobuo, Fabrication process for a semiconductor integrated circuit device.
  56. Naofumi Ohashi JP; Hizuru Yamaguchi JP; Junji Noguchi JP; Nobuo Owada JP, Fabrication process of semiconductor integrated circuit device.
  57. Yu, Yongsik; Billington, Karen; Hepburn, Robert; Carris, Michael; Crew, William, Film for copper diffusion barrier.
  58. Yu,Yongsik; Billington,Karen; Hepburn,Robert; Carris,Michael; Crew,William, Film for copper diffusion barrier.
  59. Hembree David R. ; Gochnour Derek, Flexible semiconductor interconnect fabricated by backside thinning.
  60. Hembree David R. ; Gochnour Derek, Flexible semiconductor interconnect fabricated by backslide thinning.
  61. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  62. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  63. Cerny, Glenn Allen; Hwang, Byung Keun; Loboda, Mark Jon, H:SiOC coated substrates.
  64. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  65. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; van Schravendijk, Bart, Hardmask materials.
  66. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Hardness improvement of silicon carboxy films.
  67. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  68. Tsau, Liming, High density metal capacitor using dual-damascene copper interconnect.
  69. Tsau, Liming, High-density metal capacitor using dual-damascene copper interconnect.
  70. Tsau,Liming, High-density metal capacitor using dual-damascene copper interconnect.
  71. Farrar,Paul A., Hplasma treatment.
  72. Zhao Bin ; Brongo Maureen R., IC interconnect structures and methods for making same.
  73. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  74. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  75. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  76. Philippe Gayet FR, Integrated circuit and associated fabrication process.
  77. Farrar, Paul A., Integrated circuit and seed layers.
  78. Farrar,Paul A., Integrated circuit and seed layers.
  79. Farrar,Paul A., Integrated circuit and seed layers.
  80. Woo, Christy Mei-Chu; Cheng, Ning; Yao, Huade Walter, Integrated circuit contact system.
  81. Mehul B. Naik ; Suketu A. Parikh, Integrated circuit interconnect lines having sidewall layers.
  82. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  83. Berthold,J철rg; Schwarzl,Siegfried, Integrated electrical circuit and method for fabricating it.
  84. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  85. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  86. Gorrell, Jonathan, Integration of vacuum microelectronic device with integrated circuit.
  87. Parikh, Suketu A.; Naik, Mehul B.; Broydo, Samuel; Hey, H. Peter W., Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics.
  88. McTeer,Allen, Interconnect structure for use in an integrated circuit.
  89. Yang, Chih-Chao; Wang, Ping-Chuan; Wang, Yun-Yu, Interconnect structure having enhanced electromigration reliability and a method of fabricating same.
  90. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Interconnect structures and a method of electroless introduction of interconnect structures.
  91. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  92. Allman, Derryl D. J.; Fuchs, Kenneth, Interconnect-embedded metal-insulator-metal capacitor.
  93. Derryl D. J. Allman ; John Q. Walker ; Verne C. Hornback ; Todd A. Randazzo, Interconnect-integrated metal-insulator-metal capacitor and method of fabricating same.
  94. Foong, Chee Seng; Uehling, Trent; Higgins, III, Leo M., Laser sintered interconnections between die.
  95. You Lu ; Iacoponi John A., Low dielectric constant coating of conductive material in a damascene process for semiconductors.
  96. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond.
  97. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  98. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between circuit metal levels.
  99. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-K SiC copper diffusion barrier films.
  100. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Low-k B-doped SiC copper diffusion barrier films.
  101. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-k SiC copper diffusion barrier films.
  102. Yu,Yongsik; Billington,Karen; Tang,Xingyuan; Fu,Haiying; Carris,Michael; Crew,William, Low-k SiC copper diffusion barrier films.
  103. Yu, Yongsik; Gupta, Atul; Billington, Karen; Carris, Michael; Crew, William; Mountsier, Thomas W., Low-k b-doped SiC copper diffusion barrier films.
  104. Cathey, David A.; Doan, Trung T., MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer.
  105. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  106. Sandhu Gurtej Singh ; Yu Chris Chang, Metallization layer.
  107. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  108. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  109. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  110. Downey, Stephen Ward; Yen, Allen; Wolf, Thomas Michael; Murphey, Paul B., Method for avoiding notching in a semiconductor interconnect during a metal etching step.
  111. Gaillard, Frederic; Nemani, Srinivas D., Method for depositing a low dielectric constant film.
  112. Raffi N. Elmadjian ; George L. Kerber, Method for fabricating a microelectronic integrated circuit with improved step coverage.
  113. Givens John H. ; Lane Richard H., Method for fabricating conductive components in microelectronic devices and substrate structures therefor.
  114. Givens John H. ; Lane Richard H., Method for fabricating conductive components in microelectronic devices and substrate structures thereof.
  115. Hegde Rama I. ; Denning Dean J. ; Klein Jeffrey L. ; Tobin Philip J., Method for forming a conductive structure having a composite or amorphous barrier layer.
  116. Farkas Janos ; Anthony Brian G. ; Guvenilir Abbas ; Islam Mohammed Rabiul ; Kolagunta Venkat ; Mendonca John ; Tiwari Rajesh ; Venkatesan Suresh, Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process.
  117. Farkas, Janos; Anthony, Brian G.; Guvenilir, Abbas; Islam, Mohammed Rabiul; Kolagunta, Venkat; Mendonca, John; Tiwari, Rajesh; Venkatesan, Suresh, Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process.
  118. Janos Farkas ; Brian G. Anthony ; Abbas Guvenilir ; Mohammed Rabiul Islam ; Venkat Kolagunta ; John Mendonca ; Rajesh Tiwari ; Suresh Venkatesan, Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process.
  119. Sandhu, Gurtej Singh; Yu, Chris Chang, Method for forming a metallization layer.
  120. Sandhu,Gurtej Sandhu; Yu,Chris Chang, Method for forming a metallization layer.
  121. Sandhu,Gurtej Singh; Yu,Chris Chang, Method for forming a metallization layer.
  122. Lee Won-Jun,KRX, Method for forming an interconnection in a semiconductor device.
  123. Kim, Jae-Hong, Method for forming contact plug in a semiconductor device.
  124. Roy Sudipto Ranendra,SGX, Method for forming copper damascene structures by using a dual CMP barrier layer.
  125. Chung, Henry, Method for forming interconnection structure in an integration circuit.
  126. You Lu ; Pramanick Shekhar ; Nogami Takeshi, Method for forming low dielectric passivation of copper interconnects.
  127. Hichem M'Saad ; Dana Tribula ; Manoj Vellaikal ; Farhad Moghadam ; Sameer Desai, Method for improving barrier layer adhesion to HDP-FSG thin films.
  128. Hiner,David Jon; Huemoeller,Ronald Patrick; Rusli,Sukianto, Method for making an integrated circuit substrate having embedded back-side access conductors and vias.
  129. Hiner, David Jon; Huemoeller, Ronald Patrick; Rusli, Sukianto, Method for making an integrated circuit substrate having laminated laser-embedded circuit layers.
  130. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  131. Wu Kun-Lin,TWX ; Lu Horng-Bor,TWX, Method for preventing poisoned vias and trenches.
  132. Loboda, Mark Jon; Seifferly, Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  133. Hussein, Makarem A.; Brain, Ruth; Turklot, Robert; Sivakumar, Sam, Method integrating polymeric interlayer dielectric in integrated circuits.
  134. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition.
  135. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  136. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  137. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  138. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  139. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  140. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  141. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  142. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  143. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  144. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  145. Rusli, Sukianto; Huemoeller, Ronald Patrick, Method of fabricating an embedded circuit pattern.
  146. Trivedi, Jigish D.; Violette, Mike P., Method of forming a dual damascene interconnect by selective metal deposition.
  147. McTeer,Allen, Method of forming an interconnect structure for a semiconductor device.
  148. Johnson Gregory A. ; Taravade Kunal N., Method of forming and electrically connecting a vertical interdigitated metal-insulator-metal capacitor extending between interconnect layers in an integrated circuit.
  149. Zhao, Bin; Brongo, Maureen R., Method of forming dual-damascene interconnect structures employing low-k dielectric materials.
  150. Taguchi Mitsuru,JPX ; Maeda Keiichi,JPX, Method of forming wirings.
  151. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  152. Ishikawa,Kensuke; Saito,Tatsuyuki; Miyauchi,Masanori; Saito,Toshio; Ashihara,Hiroshi, Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole.
  153. Engelhardt,Manfred, Method of producing an integrated circuit configuration.
  154. Li Jianxun,SGX ; Chooi Simon,SGX ; Zhou Mei-Sheng,SGX, Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion.
  155. Varadarajan, Bhadri N., Method to obtain SiC class of films of desired composition and film properties.
  156. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  157. Grill Alfred ; Kotecki David Edward ; Saenger Katherine Lynn, Method, materials, and structures for noble metal electrode contacts to silicon.
  158. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  159. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  160. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  161. Stamper Anthony K., Methods for forming metal interconnects.
  162. Ahn,Kie Y.; Forbes,Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  163. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  164. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  165. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  166. Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Wu, Hui-Jung; van Schravendijk, Bart; Branshaw, Kimberly, Methods for reducing UV and dielectric diffusion barrier interaction.
  167. Park Byung-lyul,KRX ; Ha Jung-min,KRX ; Ko Dae-hong,KRX ; Lee Sang-in,KRX, Methods of fabricating a selectively deposited tungsten nitride layer and metal wiring using a tungsten nitride layer.
  168. Sandhu Gurtej Sandhu ; Yu Chris Chang, Methods of forming metallization layers and integrated circuits containing such.
  169. Yun, Jong-ho; Choi, Gil-heyun; Lee, Jong-myeong, Methods of forming semiconductor devices including landing pads formed by electroless plating.
  170. Tang,Sanh D.; Gugel,Troy; Lee,John; Fishburn,Fred, Microfeature workpieces and methods of forming a redistribution layer on microfeature workpieces.
  171. Parikh Suketu A., Misalignment tolerant techniques for dual damascene fabrication.
  172. Lin Kang-Cheng,TWX, Modified dual damascene process.
  173. Huemoeller, Ronald Patrick; Hiner, David Jon; Lie, Russ, Multi-level circuit substrate fabrication method.
  174. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  175. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  176. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  177. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  178. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  179. Licata, Thomas J.; Hillman, Joseph T., Optimized liners for dual damascene metal wiring.
  180. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  181. Kotlanka, Rama Krishna; Kumar, Rakesh; Chirayarikathuveedu Sankarapillai, Premachandran; Yelehanka, Pradeep Ramachandramurthy, Package interconnects.
  182. Chung-Shi Liu TW; Chen-Hua Yu TW, Passivation method for copper process.
  183. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Passivation method for copper process.
  184. Krishna Seshan ; Neal R. Mielke, Planar guard ring.
  185. Seshan Krishna ; Mielke Neal R., Planar guard ring.
  186. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  187. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  188. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  189. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  190. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  191. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  192. David Cheung ; Wai-Fan Yau ; Robert P. Mandal ; Shin-Puu Jeng TW; Kuo-Wei Liu ; Yung-Cheng Lu ; Michael Barnes ; Ralf B. Willecke ; Farhad Moghadam ; Tetsuya Ishikawa ; Tze Wing Poon, Plasma processes for depositing low dielectric constant films.
  193. Hu, Yongjun Jeff, Polycide structure and method for forming polycide structure.
  194. Catherine M. Peyne ; David J. Maloney ; Shihying Lee ; Wai Mun Lee ; Leslie W. Arkless GB, Post etch cleaning composition and process for dual damascene system.
  195. Peyne,Catherine M.; Maloney,David J.; Lee,Shihying; Lee,Wai Mun; Arkless,Leslie W., Post etch cleaning composition for dual damascene system.
  196. Dalton, Timothy J.; Hummel, John P., Post metalization chem-mech polishing dielectric etch.
  197. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  198. Annapragada, Rao; Bosch, William F., Process for etching vias in organosilicate glass materials without causing RIE lag.
  199. Hung-Sheng Chen ; Unsoon Kim ; Yu Sun ; Chi Chang ; Mark Ramsbey ; Mark Randolph ; Tatsuya Kajita ; Angela Hui ; Fei Wang ; Mark Chang, Process for fabricating an integrated circuit with a self-aligned contact.
  200. Charm,Richard William; Zhou,De Ling; Small,Robert J.; Lee,Shihying, Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials.
  201. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Yieh, Ellie; Venkataraman, Shankar, Purge heater design and process development for the improvement of low k film properties.
  202. Wang Ying-Lang,TWX ; Dun Jowei,TWX ; Lee Ming-Jer,TWX ; Kuan Tong-Hua,TWX, Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue.
  203. Givens, John H., Reduced RC between adjacent substrate wiring lines.
  204. Givens John H., Reduced RC delay between adjacent substrate wiring lines.
  205. John H. Givens, Reduced RC delay between adjacent substrate wiring lines.
  206. Chao-Kun Hu ; Robert Rosenberg ; Judith Marie Rubino ; Carlos Juan Sambucetti ; Anthony Kendall Stamper, Reduced electromigration and stressed induced migration of Cu wires by surface coating.
  207. Hu,Chao Kun; Rosenberg,Robert; Rubino,Judith M.; Sambucetti,Carlos J.; Stamper,Anthony K., Reduced electromigration and stressed induced migration of copper wires by surface coating.
  208. Wu, Hui-Jung; Shafi, Kimberly; Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Dixit, Girish; van Schravendijk, Bart; Apen, Elizabeth, Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties.
  209. Varadarajan, Bhadri, Remote plasma based deposition of SiOC class of films.
  210. Lee, Wai Mun, Remover compositions for dual damascene system.
  211. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  212. Wang Fei ; Cheng Jerry ; Lukanc Todd, Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer.
  213. Wang Fei ; Cheng Jerry ; Erb Darrell M., Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer.
  214. Agarwal, Vishnu K., Semiconductor container structure with diffusion barrier.
  215. Seta, Shoji; Sekine, Makoto; Nakamura, Naofumi, Semiconductor device and manufacturing method of the same.
  216. Seta,Shoji; Sekine,Makoto; Nakamura,Naofumi, Semiconductor device and manufacturing method of the same.
  217. Wada Junichi,JPX ; Oikawa Yasushi,JPX ; Katata Tomio,JPX, Semiconductor device and manufacturing method thereof.
  218. Otsuka,Satoshi, Semiconductor device and method for fabricating the same.
  219. Okamura, Hiroshi, Semiconductor device and method for manufacturing the semiconductor device.
  220. Matsugai, Hiroyasu; Tabuchi, Kiyotaka, Semiconductor device and method of manufacturing semiconductor device.
  221. Kordic Srdjan,NLX ; Mutsaers Cornelis A. H. A.,NLX ; Klee Mareike K.,DEX ; Groen Wilhelm A.,NLX, Semiconductor device and method of manufacturing such a device.
  222. Nagai, Kouichi, Semiconductor device and method of manufacturing the same.
  223. Koji Yamamoto JP, Semiconductor device having a dual damascene interconnect structure and method for manufacturing same.
  224. Ohkura Yoshiyuki,JPX ; Harada Hideki,JPX, Semiconductor device having a porous insulation film.
  225. Yoshizawa,Kazutaka; Ikemasu,Shinichiroh, Semiconductor device including titanium wires and manufacturing method therefor.
  226. Hideo Takagi JP; Kiyoshi Izumi JP; Wataru Futo JP; Satoshi Otsuka JP; Shigetaka Uji JP; Masataka Hoshino JP; Yukihiro Satoh JP; Koji Endo JP; Yuzuru Ohta JP; Nobuhiro Misawa JP, Semiconductor device with copper wiring and its manufacture method.
  227. Chen, Howard Hao; Hsu, Louis L.; Wang, Li-Kong, Semiconductor high dielectric constant decoupling capacitor structures and process for fabrication.
  228. Ishikawa, Kensuke; Saito, Tatsuyuki; Miyauchi, Masanori; Saito, Toshio; Ashihara, Hiroshi, Semiconductor integrated circuit device and a method of manufacturing the same.
  229. Ishikawa, Kensuke; Saito, Tatsuyuki; Miyauchi, Masanori; Saito, Toshio; Ashihara, Hiroshi, Semiconductor integrated circuit device and a method of manufacturing the same.
  230. Ohashi, Naofumi; Yamaguchi, Hizuru; Noguchi, Junji; Owada, Nobuo, Semiconductor integrated circuit device and fabrication process thereof.
  231. Saito, Tatsuyuki; Noguchi, Junji; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and fabrication process thereof.
  232. Saito, Tatsuyuki; Noguchi, Junji; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and fabrication process thereof.
  233. Ishikawa,Kensuke; Saito,Tatsuyuki; Miyauchi,Masanori; Saito,Toshio; Ashihara,Hiroshi, Semiconductor integrated circuit device with a connective portion for multilevel interconnection.
  234. Saito, Tatsuyuki; Noguchi, Junji; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device with vertically stacked conductor interconnections.
  235. Seta, Shoji, Semiconductor integrated circuit including a multi-level interconnect with a diagonal wire.
  236. Shekhar Pramanick ; Takeshi Nogami, Semiconductor interconnect barrier and manufacturing method thereof.
  237. Lai, Chih-Ming; Huang, Wen-Chun; Liu, Ru-Gun; Chen, Pi-Tsung, Semiconductor interconnect structure.
  238. Sandhu,Gurtej Singh; Yu,Chris Chang, Semiconductor manufacturing system for forming metallization layer.
  239. Huemoeller,Ronald Patrick; Hiner,David Jon; Rusli,Sukianto, Semiconductor package and substrate having multi-level vias.
  240. Huemoeller,Ronald Patrick; Hiner,David Jon; Rusli,Sukianto, Semiconductor package and substrate having multi-level vias fabrication method.
  241. Liu, Wei-Hsin; Tsai, Bin-Siang, Semiconductor structure and process thereof.
  242. Cho, Seon-Mee; M'Saad, Hichem; Moghadam, Farhad, Silicon carbide deposited by high density plasma chemical-vapor deposition with bias.
  243. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating.
  244. Chan Tsiu C. ; Chiu Anthony M. ; Smith Gregory C., Silver metallization by damascene method.
  245. Tsiu C. Chan ; Anthony M. Chiu ; Gregory C. Smith, Silver metallization by damascene method.
  246. Cronin, John E.; Luther, Barbara J., Stacked via in copper/polyimide BEOL.
  247. Yu, Yongsik; van Schravendijk, Bart J.; Shankar, Nagraj; Varadarajan, Bhadri N., Staircase encapsulation in 3D NAND fabrication.
  248. Yu, Shao-Chi; Hung, Chia-Ming; Chen, Hsiang-Fu; Tai, Wen-Chuan; Huang, Hsin-Ting, Structure and method of providing a re-distribution layer (RDL) and a through-silicon via (TSV).
  249. Farrar, Paul A., Structures and methods to enhance copper metallization.
  250. Farrar, Paul A., Structures and methods to enhance copper metallization.
  251. Farrar, Paul A., Structures and methods to enhance copper metallization.
  252. Farrar,Paul A., Structures and methods to enhance copper metallization.
  253. Farrar,Paul A., Structures and methods to enhance copper metallization.
  254. Huemoeller, Ronald Patrick; Rusli, Sukianto; Hiner, David Jon, Substrate having stiffener fabrication method.
  255. Gorrell, Jonathan; Davidson, Mark; Maines, Michael E, Switching micro-resonant structures by modulating a beam of charged particles.
  256. Hembree David R. ; Gochnour Derek, System for testing semiconductor components having flexible interconnect.
  257. Cheng Jerry ; Wang Fei, Tantalum barrier metal removal by using CF.sub.4 /o.sub.2 plasma dry etch.
  258. Parikh Suketu A., Techniques for triple and quadruple damascene fabrication.
  259. Parikh, Suketu A., Techniques for triple and quadruple damascene fabrication.
  260. Efland Taylor R. ; Mai Quang X. ; Williams Charles E. ; Keller Stephen A., Thick plated interconnect and associated auxillary interconnect.
  261. McTeer, Allen, Use of AIN as cooper passivation layer and thermal conductor.
  262. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  263. Singh, Vinita; Nemani, Srinivas D.; Zheng, Yi; Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Use of cyclic siloxanes for hardness improvement of low k dielectric films.
  264. Gregory A. Johnson ; Kunal N. Taravade, Vertical interdigitated metal-insulator-metal capacitor for an integrated circuit.
  265. Miyamoto, Takaaki, Wiring structure in semiconductor device and method for forming the same.
  266. Takaaki Miyamoto JP, Wiring structure in semiconductor device and method for forming the same.
  267. Matsunaga, Noriaki; Usui, Takamasa; Ito, Sachiyo, Wiring structure of semiconductor device.
  268. Matsunaga, Noriaki; Usui, Takamasa; Ito, Sachiyo, Wiring structure of semiconductor device.
  269. Ahn Byung-Chul,KRX, Wiring structure of thin film transistor array and method of manufacturing the same.
  270. Byung Chul Ahn KR, Wiring structure of thin film transistor array and method of manufacturing the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로