$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Flexible, high-performance static RAM architecture for field-programmable gate arrays 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H03K-019/177
출원번호 US-0603597 (1996-02-16)
발명자 / 주소
  • McGowan John E.
  • Plants William C.
  • Landry Joel D.
  • Kaptanoglu Sinan
  • Miller Warren K.
출원인 / 주소
  • Actel Corporation
대리인 / 주소
    D'Alessandro & Ritchie
인용정보 피인용 횟수 : 152  인용 특허 : 61

초록

A field programmable gate array architecture comprises a plurality of horizontal and vertical routing channels each including a plurality of interconnect conductors. Some interconnect conductors are segmented by user-programmable interconnect elements, and some horizontal and vertical interconnect c

대표청구항

[ What is claimed is:] [1.] A field programmable gate array architecture comprising:a plurality of horizontal routing channels each including a plurality of interconnect conductors, some of said interconnect conductors segmented by user-programmable interconnect elements;a plurality of vertical rout

이 특허에 인용된 특허 (61)

  1. Harvey Paul W. (Santa Clara CA) Kitson Bradford S. (Castro Valley CA) Miller ; Jr. Warren K. (Hayward CA), Apparatus for producing any one of a plurality of signals at a single output.
  2. Carter William S. (Santa Clara CA), Bidirectional buffer amplifier.
  3. Freeman Ross H. (San Jose CA), Configurable electrical circuit having configurable logic elements and configurable interconnects.
  4. Cliff Richard G. (Plymouth GB2) Austin Kenneth (Cheshire GB2), Configurable logic array.
  5. Goetting F. Erich (Cupertino CA), Configuration control unit for programming a field programmable gate array and reading array status.
  6. Ward Christopher R. (Bishops Stortford GBX) Hazon Stephen C. (Bishops Stortford GBX) Swift David L. (Bishops Stortford GBX), Data processing arrangement using an interconnecting network on a single semiconductor chip.
  7. McCollum John L. (Saratoga CA) Chen Shih-Ou (Fremont CA), Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer.
  8. Forouhi Abdul R. (San Jose CA), Electrically programmable antifuse incorporating dielectric and amorphous silicon interlayer.
  9. Graham ; III Hatch (Santa Clara CA) Seltz Daniel (Mountain View CA), Electronically programmable gate array having programmable interconnect lines.
  10. Cooke Laurence H. (San Jose CA) Marple David (Palo Alto CA), Field programmable gate array.
  11. Ngai Kai-Kit (Allentown PA) Singh Satwant (Macungie PA), Field programmable gate array with multi-port RAM.
  12. Agrawal Om P. (San Jose CA) Ilgenstein Kerry A. (Austin TX) Wright Michael J. (Santa Clara CA) Moench Jerry D. (Austin TX) Khu Arthur H. (San Mateo CA), Flexible, programmable cell array interconnected by a programmable switch matrix.
  13. Hsieh Wen-Jai (Palo Alto CA) Horng Chi-Song (Palo Alto CA) Wong Chun C. D. (Palo Alto CA), Folded hierarchical crosspoint array.
  14. Cox Dennis T. (Kingston NY) Devine William T. (Ulster Park NY) Kelly Gilbert J. (Red Hook NY), High density logic array.
  15. Chiang David (Saratoga CA) Ho Thomas Y. (Milpitas CA) Seltzer Jeffrey H. (San Jose CA) Goldberg Jeffrey (San Jose CA), Input circuit block and method for PLDs with register clock enable selection.
  16. Kuboki Shigeo (Nakaminato JPX) Masuda Ikuro (Hitachi JPX) Masuda Toshiaki (Kitaibaraki JPX) Hayashi Terumine (Hitachi JPX), Integrated circuit device.
  17. Goetting F. Erich (Cupertino CA), Logic cell for field programmable gate array having optional input inverters.
  18. Galbraith Douglas C. (Fremont CA) El Gamal Abbas (Palo Alto CA) Greene Jonathan W. (Palo Alto CA), Logic module with configurable combinational and sequential blocks.
  19. Carter William S. (Santa Clara CA), Microprocessor oriented configurable logic element.
  20. Agrawal Om (San Jose CA), Multiple array customizable logic device.
  21. Husher John D. (Los Altos Hills CA) Forouhi Abdul R. (San Jose CA), Process for fabricating electrically programmable antifuse element.
  22. Chan Andrew K. (Palo Alto CA) Birkner John M. (Portola Valley CA) Chua Hua-Thye (Los Altos Hills CA), Programmable application specific integrated circuit and logic cell therefor.
  23. Chan Andrew K. (Palo Alto CA) Chua Hua-Thye (Los Altos Hills CA), Programmable application specific integrated circuit and logic cell therefor.
  24. Birkner John M. (Sunnyvale CA) Tavana Danesh M. (San Jose CA) Chan Andrew K. (Milpitas CA) Wong Sing Y. (Sunnyvale CA), Programmable array logic cell.
  25. Freeman ; deceased Ross H. (late of San Jose CA by Dennis Hersey ; executor) Duong Khue (San Jose CA) Hsieh Hung-Cheng (Sunnyvale CA) Erickson Charles R. (Fremont CA) Carter William S. (Santa Clara C, Programmable connector for programmable logic device.
  26. Agrawal Om P. (San Jose CA) Wright Michael J. (Menlo Park CA) Shen Ju (San Jose CA), Programmable gate array with improved interconnect structure, input/output structure and configurable logic block.
  27. El Ayat Khaled (Cupertino CA) El Gamal Abbas A. (Palo Alto CA) Mohsen Amr M. (Saratoga CA), Programmable interconnect architecture.
  28. El Gamal Abbas A. (Palo Alto CA) El-Ayat Khaled A. (Cupertino CA) Greene Jonathan W. (Palo Alto CA) Guo Ta-Pen R. (Cupertino CA) Reyneri Justin M. (Los Altos CA), Programmable interconnect architecture.
  29. McCollum John L. (Saratoga CA) El Gamal Abbas A. (Palo Alto CA) Greene Jonathan W. (Palo Alto CA), Programmable interconnect architecture having interconnects disposed above function modules.
  30. Cliff Richard G. (Santa Clara CA) Ahanin Bahram (Cupertino CA) Lytle Craig S. (Palo Alto CA) Heile Francis B. (Santa Clara CA) Pedersen Bruce B. (Santa Clara CA) Veenstra Kerry (San Jose CA), Programmable logic array having local and long distance conductors.
  31. Lytle Craig S. (Mountain View CA) Faria Donald F. (San Jose CA), Programmable logic array integrated circuit incorporating a first-in first-out memory.
  32. Cliff Richard G. (Milpitas CA) Ahanin Bahram (Cupertino CA), Programmable logic array integrated circuits with cascade connections between logic modules.
  33. Kollaritsch Paul W. (Hazlet NJ), Programmable logic array interconnection matrix.
  34. Camarota Rafael C. (San Jose CA) Furtek Frederick C. (Menlo Park CA) Ho Walford W. (Saratoga CA) Browder Edward H. (Saratoga CA), Programmable logic cell and array.
  35. Furtek Frederick C. (Arlington MA), Programmable logic cell and array.
  36. Kaplinsky Cecil H. (Palo Alto CA), Programmable logic device.
  37. Keida Hisaya (Chiba JPX), Programmable logic device.
  38. Takata Akira (Toyonaka JPX) Fujii Koichi (Toyonaka JPX), Programmable logic device having plural programmable function cells.
  39. Sakamoto Makoto (Chiba JPX), Programmable logic device having programmable wiring for connecting adjacent programmable logic elements through a singl.
  40. Wong Sau-Ching (Hillsborough CA) So Hock-Chuen (Milpitas CA) Kopec ; Jr. Stanley J. (San Jose CA) Hartmann Robert F. (San Jose CA), Programmable logic device with array blocks connected via programmable interconnect.
  41. Kaplinsky Cecil H. (Palo Alto CA), Programmable logic device with ganged output pins.
  42. Agrawal Om P. (San Jose CA), Programmable logic device with multiple, flexible asynchronous programmable logic blocks interconnected by a high speed.
  43. Norman Kevin A. (Belmont CA) Frankovich Robert J. (Cupertino CA), Programmable logic device with programmable word line connections.
  44. Veenstra Kerry S. (Concord CA), Programmable logic storage element for programmable logic devices.
  45. Mohsen Amr M. (Saratoga CA) Hamdy Esmat Z. (Fremont CA) McCullum John L. (Saratoga CA), Programmable low impedance anti-fuse element.
  46. El Gamal Abbas (Palo Alto CA) Chiang Steve S. S. (Saratoga CA), Reconfigurable programmable interconnect architecture.
  47. El Gamal Abbas (Palo Alto CA) Chiang Steve S. S. (Saratoga CA), Reconfigurable programmable interconnect architecture.
  48. Madurawe Raminda (Sunnyvale CA), Reconfigurable programmable logic device having static and non-volatile memory.
  49. Greene Johathan W. (Palo Alto CA) El Gamal Abbas A. (Palo Alto CA) Kaptanoglu Sinan (San Carlos CA), Segmented routing architecture.
  50. Gordon Kathryn E. (Palo Alto CA) Jenq Ching S. (San Jose CA), Semiconductor antifuse structure and method.
  51. Carter William S. (Santa Clara CA), Special interconnect for configurable logic array.
  52. Nakaya Masao (Hyogo JPX) Nakabayashi Takeo (Hyogo JPX) Andou Hideki (Hyogo JPX), Standard cell system large scale integrated circuit with heavy load lines passing through the cells.
  53. Patil Suhas S. (Salt Lake City UT), Storage/logic array.
  54. Helms Howard D. (Brookside NJ), Technique for the operational life test of microprocessors.
  55. El-Ayat Khaled A. (Cupertino CA) Chang Jia-Hwang (Cupertino CA), Testability architecture and techniques for programmable interconnect architecture.
  56. El-Ayat Khaled A. (Cupertino CA) El Gamal Abbas (Pal Alto CA) Mohsen Amr M. (Saratoga CA), Testing apparatus and diagnostic method for use with programmable interconnect architecture.
  57. Mohsen Amr M. (Saratoga CA), Universal interconnect matrix array.
  58. El Gamal Abbas (Palo Alto CA) El-Ayat Khaled A. (Cupertino CA) Greene Jonathan W. (Palo Alto CA) Guo Ta-Pen R. (Cupertino CA) Reyneri Justin M. (Los Altos CA), Universal logic module comprising multiplexers.
  59. Elgamal Abbas (Palo Alto CA) El-Ayat Khaled A. (Cupertino CA) Mohsen Amr (Saratoga CA), User programmable integrated circuit interconnect architecture and test method.
  60. Furtek Frederick C. (Menlo Park CA) Camarota Rafael C. (San Jose CA), Versatile programmable logic cell for use in configurable logic arrays.
  61. Stopper Herbert (Orchard Lake MI) Perkins Cornelius C. (Brimingham MI), Wafer and method of making same.

이 특허를 인용한 특허 (152)

  1. Langhammer, Martin; Nguyen, Triet M.; Lin, Yi-Wen, Adder-rounder circuitry for specialized processing block in programmable logic device.
  2. Langhammer, Martin, Angular range reduction in an integrated circuit device.
  3. Kuo,Wei Min; Yu,Donald Y., Apparatus for interfacing and testing a phase locked loop in a field programmable gate array.
  4. Kuo, Wei-Min; Yu, Donald Y., Apparatus for testing a phrase-locked loop in a boundary scan enabled device.
  5. Langhammer, Martin, Calculation of trigonometric functions in an integrated circuit device.
  6. Langhammer, Martin, Calculation of trigonometric functions in an integrated circuit device.
  7. Langhammer, Martin, Calculation of trigonometric functions in an integrated circuit device.
  8. Langhammer, Martin, Calculation of trigonometric functions in an integrated circuit device.
  9. Kundu, Arunangshu; Fron, Jerome, Carry chain for use between logic modules in a field programmable gate array.
  10. Kundu, Arunangshu, Clock tree network in a field programmable gate array.
  11. Kundu, Arunangshu, Clock tree network in a field programmable gate array.
  12. Kundu,Arunangshu, Clock tree network in a field programmable gate array.
  13. Langhammer, Martin, Combined adder and pre-adder for high-radix multiplier circuit.
  14. Langhammer, Martin, Combined floating point adder and subtractor.
  15. Mauer, Volker, Combined interpolation and decimation filter for programmable logic device.
  16. Langhammer, Martin, Computing floating-point polynomials in an integrated circuit device.
  17. Langhammer, Martin; Pasca, Bogdan, Computing floating-point polynomials in an integrated circuit device.
  18. Porzio, Luca; Sequeira, Rodolphe, Concurrent memory operations.
  19. Evans,Brian P.; Hunt,Jeffery Scott, Configurable matrix architecture.
  20. Langhammer, Martin, Configuring a programmable integrated circuit device to perform matrix multiplication.
  21. Langhammer, Martin, Configuring floating point operations in a programmable device.
  22. Langhammer, Martin, Configuring floating point operations in a programmable logic device.
  23. Plants, William C., Cyclic redundancy checking of a field programmable gate array having a SRAM memory architecture.
  24. Plants, William C., Cyclic redundancy checking of a field programmable gate array having an SRAM memory architecture.
  25. Plants,William C., Cyclic redundancy checking of a field programmable gate array having an SRAM memory architecture.
  26. Leung, Wai-Bor; Lui, Henry Y., DSP block for implementing large multiplier on a programmable integrated circuit device.
  27. Plants, William C.; Kundu, Arunangshu, Dedicated input/output first in/first out module for a field programmable gate array.
  28. Plants, William C.; Kundu, Arunangshu, Dedicated input/output first in/first out module for a field programmable gate array.
  29. Plants,William C.; Kundu,Arunangshu, Dedicated input/output first in/first out module for a field programmable gate array.
  30. Plants,William C.; Kundu,Arunangshu, Dedicated input/output first in/first out module for a field programmable gate array.
  31. Chan, King W.; Shu, William C. T.; Kaptanoglu, Sinan; Cheng, Chi Fung, Dedicated interface architecture for a hybrid integrated circuit.
  32. Chan,King W.; Shu,William C. T.; Kaptanoglu,Sinan; Cheng,Chi Fung, Dedicated interface architecture for a hybrid integrated circuit.
  33. Plants, William C., Deglitching circuits for a radiation-hardened static random access memory based programmable architecture.
  34. Plants,William C., Deglitching circuits for a radiation-hardened static random access memory based programmable architecture.
  35. Plants,William C., Deglitching circuits for a radiation-hardened static random access memory based programmable architecture.
  36. Osann, Jr., Robert; Hallinan, Patrick; Lee, Jung; Mukund, Shridhar, Depopulated programmable logic array.
  37. Osann, Jr.,Robert; Hallinan,Patrick; Lee,Jung; Mukund,Shridhar, Depopulated programmable logic array.
  38. Demirsoy, Suleyman Sirri; Yi, Hyun, Digital signal processing circuit blocks with support for systolic finite-impulse-response digital filtering.
  39. Demirsoy, Suleyman Sirri; Yi, Hyun, Digital signal processing circuit blocks with support for systolic finite-impulse-response digital filtering.
  40. Demirsoy, Suleyman; Yi, Hyun, Digital signal processing circuit blocks with support for systolic finite-impulse-response digital filtering.
  41. Langhammer, Martin, Digital signal processing circuitry with redundancy and ability to support larger multipliers.
  42. Langhammer, Martin; Lin, Yi-Wen; Streicher, Keone, Digital signal processing circuitry with redundancy and bidirectional data paths.
  43. Langhammer, Martin, Discrete Fourier Transform in an integrated circuit device.
  44. Brown, James R.; Edmondson, Charles A.; Kauffmann, Brian R., Distributed memory and logic circuits.
  45. Langhammer, Martin, Double-clocked specialized processing block in an integrated circuit device.
  46. Agrawal Om P. ; Chang Herman M. ; Sharpe-Geisler Bradley A. ; Nguyen Bai, Dual port SRAM memory for run time use in FPGA integrated circuits.
  47. Veenstra Kerry S., Embedded memory block with FIFO mode for programmable logic device.
  48. Ngai, Tony; Shumarayev, Sergey; Huang, Wei-Jen; Patel, Rakesh; Lai, Tin, Embedded memory blocks for programmable logic.
  49. Tony Ngai ; Sergey Shumarayev ; Wei-Jen Huang ; Rakesh Patel ; Tin Lai, Embedded memory blocks for programmable logic.
  50. Plants William C. ; Joseph James Dean ; Bell Antony G., Embedded static random access memory for field programmable gate array.
  51. Beal Samuel W. ; Kaptonoglu Sinan ; Lien Jung-Cheun ; Shu William ; Chan King W. ; Plants William C., Enhanced field programmable gate array.
  52. Landry, Joel; Greene, Jonathan; Plants, William C.; Feng, Wenyi, FPGA RAM blocks optimized for use as register files.
  53. Agrawal Om P. ; Chang Herman M. ; Sharpe-Geisler Bradley A. ; Nguyen Bai, FPGA integrated circuit having embedded SRAM memory blocks and interconnect channel for broadcasting address and control signals.
  54. Agrawal Om P. ; Chang Herman M. ; Sharpe-Geisler Bradley A. ; Nguyen Bai, FPGA integrated circuit having embedded SRAM memory blocks with registered address and data input sections.
  55. Agrawal,Om P.; Chang,Herman M.; Sharpe Geisler,Bradley A.; Nguyen,Bai, FPGA integrated circuit having embedded sram memory blocks with registered address and data input sections.
  56. Plants, William C., Field programmable gate array architecture including a buffer module and a method of distributing buffer modules in a field programmable gate array.
  57. John E. McGowan, Field programmable gate array with mask programmed input and output buffers.
  58. McGowan John E., Field programmable gate array with mask programmed input and output buffers.
  59. Yu, Donald Y.; Kuo, Wei Min, Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers.
  60. Yu, Donald Y.; Kuo, Wei-Min, Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers.
  61. Yu,Donald Y.; Kuo,Wei Min, Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers.
  62. Yu,Donald Y.; Kuo,Wei Min, Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers.
  63. Stephen L. Wasson, Heterogeneous programmable gate array.
  64. Asayeh, Reza, High density antifuse based partitioned FPGA architecture.
  65. Chang Wanli ; Jefferson David, High speed programmable address decoder.
  66. Wanli Chang ; David Jefferson, High speed programmable address decoder.
  67. Chou, Shin-I, High-rate interpolation or decimation filter in integrated circuit device.
  68. Langhammer, Martin, Implementing division in a programmable integrated circuit device.
  69. Langhammer, Martin, Implementing large multipliers in a programmable integrated circuit device.
  70. Langhammer, Martin, Implementing mixed-precision floating-point operations in a programmable integrated circuit device.
  71. Langhammer, Martin, Implementing multipliers in a programmable integrated circuit device.
  72. Osann, Jr.,Robert; Eltoukhy,Shafy; Mukund,Shridhar; Smith,Lyle, Implementing programmable logic array embedded in mask-programmed ASIC.
  73. Laramie Michael J., Interconnect structure between heterogeneous core regions in a programmable array.
  74. Laramie Michael J., Interconnect structure between heterogeneous core regions in a programmable array.
  75. Langhammer, Martin; Tharmalingam, Kumara, Large multiplier for programmable logic device.
  76. Langhammer, Martin; Tharmalingam, Kumara, Large multiplier for programmable logic device.
  77. Langhammer, Martin; Tharmalingam, Kumara, Large multiplier for programmable logic device.
  78. Langhammer, Martin; Tharmalingam, Kumara, Large multiplier for programmable logic device.
  79. Norman Kevin A. ; Patel Rakesh H. ; Sample Stephen P. ; Butts Michael R., Look-up table based logic element with complete permutability of the inputs to the secondary signals.
  80. Langhammer, Martin, Matrix decomposition in an integrated circuit device.
  81. Kurtz, Brian L., Matrix operations in an integrated circuit device.
  82. Langhammer, Martin, Matrix operations in an integrated circuit device.
  83. Chan, Richard, Method and apparatus for a flexible chargepump scheme for field-programmable gate arrays.
  84. McCollum, John, Method and apparatus for bootstrapping a programmable antifuse circuit.
  85. Bertolet Allan Robert ; Clinton Kim P.N. ; Gould Scott Whitney ; Keyser III Frank Ray ; Reny Timothy Shawn ; Zittritsch Terrance John, Method and system for layout and schematic generation for heterogeneous arrays.
  86. Mauer, Volker; Demirsoy, Suleyman Sirri, Method for configuring a finite impulse response filter in a programmable logic device.
  87. Hecht Volker ; Saxe Timothy, Method of reducing test time for NVM cell-based FPGA.
  88. Plants William C., Methods for errors checking the configuration SRAM and user assignable SRAM data in a field programmable gate array.
  89. Streicher, Keone; Langhammer, Martin; Lin, Yi-Wen; Leung, Wai-Bor; Lewis, David; Mauer, Volker; Lui, Henry Y.; Demirsoy, Suleyman Sirri; Yi, Hyun, Modular digital signal processing circuitry with optionally usable, dedicated connections between modules of the circuitry.
  90. Streicher, Keone; Langhammer, Martin; Lin, Yi-Wen; Leung, Wai-Bor; Lewis, David; Mauer, Volker; Lui, Henry Y.; Demirsoy, Suleyman Sirri; Yi, Hyun, Modular digital signal processing circuitry with optionally usable, dedicated connections between modules of the circuitry.
  91. Streicher, Keone; Langhammer, Martin; Lin, Yi-Wen; Leung, Wai-Bor; Lewis, David; Mauer, Volker; Lui, Henry Y.; Demirsoy, Suleyman Sirri; Yi, Hyun, Modular digital signal processing circuitry with optionally usable, dedicated connections between modules of the circuitry.
  92. Kundu, Arunangshu; Narayanan, Venkatesh; McCollum, John; Plants, William C., Multi-level routing architecture in a field programmable gate array having transmitters and receivers.
  93. Kundu,Arunangshu; Narayanan,Venkatesh; McCollum,John; Plants,William C., Multi-level routing architecture in a field programmable gate array having transmitters and receivers.
  94. Langhammer, Martin, Multi-operand floating point operations in a programmable integrated circuit device.
  95. Reddy, Srinivas; Jefferson, David; Lane, Christopher F.; Santurkar, Vikram; Cliff, Richard, Multiple size memories in a programmable logic device.
  96. Langhammer, Martin, Multiple-precision processing block in a programmable integrated circuit device.
  97. Choe, Kok Heng; Ngai, Tony K; Lui, Henry Y., Multiplier-accumulator circuitry and methods.
  98. Langhammer, Martin, Normalization of floating point operations in a programmable integrated circuit device.
  99. Langhammer, Martin, Normalization of floating point operations in a programmable integrated circuit device.
  100. Sun, Shin Nan; Wong, Wayne W., Parallel programmable antifuse field programmable gate array device (FPGA) and a method for programming and testing an antifuse FPGA.
  101. Sun, Shin-Nan; Wong, Wayne W., Parallel programmable antifuse field programmable gate array device (FPGA) and a method for programming and testing an antifuse FPGA.
  102. Sun,Shin Nan; Wong,Wayne W., Parallel programmable antifuse field programmable gate array device (FPGA) and a method for programming and testing an antifuse FPGA.
  103. Mauer, Volker; Langhammer, Martin, Pipelined systolic finite impulse response filter.
  104. Langhammer, Martin, Polynomial calculations optimized for programmable integrated circuit device structures.
  105. Langhammer, Martin, Programmable device using fixed and configurable logic to implement floating-point rounding.
  106. Langhammer, Martin, Programmable device using fixed and configurable logic to implement recursive trees.
  107. Mauer, Volker; Langhammer, Martin, Programmable device with specialized multiplier blocks.
  108. Francis B. Heile, Programmable logic array device with random access memory configurable as product terms.
  109. Heile Francis B., Programmable logic array device with random access memory configurable as product terms.
  110. Heile Francis B., Programmable logic array device with random access memory configurable as product terms.
  111. Heile, Francis B., Programmable logic array device with random access memory configurable as product terms.
  112. Osann, Jr., Robert; Eltoukhy, Shafy; Mukund, Shridhar; Smith, Lyle, Programmable logic array embedded in mask-programmed ASIC.
  113. Osann, Jr., Robert; Eltoukhy, Shafy; Mukund, Shridhar; Smith, Lyle, Programmable logic array embedded in mask-programmed ASIC.
  114. Zaveri Ketan ; Cliff Richard ; Reddy Srinivas, Programmable logic array integrated circuit with distributed random access memory array.
  115. Tony K. Ngai ; Rakesh H. Patel ; Srinivas T. Reddy ; Richard G. Cliff, Programmable logic device having embedded dual-port random access memory configurable as single-port memory.
  116. Rangasayee Krishna, Programmable logic device incorporating function blocks operable as wide-shallow RAM.
  117. Rangasayee Krishna, Programmable logic device incorporating function blocks operable as wide-shallow RAM.
  118. Lane Christopher F., Programmable logic device with expandable-width memory regions.
  119. Lane Christopher F., Programmable logic device with expandable-width memory regions.
  120. Langhammer, Martin, Programmable logic device with routing channels.
  121. Langhammer,Martin, Programmable logic device with routing channels.
  122. Langhammer,Martin, Programmable logic device with routing channels.
  123. Langhammer,Martin, Programmable logic device with routing channels.
  124. Langhammer, Martin, Programmable logic device with specialized multiplier blocks.
  125. Chang, Catherine Chingi; Liu, Henry Y.; Venkata, Ramanand, Programmable matrix for the allocation of communication resources.
  126. Wojewoda Igor ; Drake Rodney J. ; Boles Brian E., Programmable pin designation for semiconductor devices.
  127. Langhammer, Martin, QR decomposition in an integrated circuit device.
  128. Mauer, Volker, QR decomposition in an integrated circuit device.
  129. John Morelli ; H. Richard Kendall, Reconfigurable logic for a computer.
  130. Kundu, Arunangshu; Sather, Eric; Plants, William C., Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks.
  131. Kundu, Arunangshu; Sather, Eric; Plants, William C., Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks.
  132. Kundu,Arunangshu; Sather,Eric; Plants,William C., Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks.
  133. Plants William C., SRAM bus architecture and interconnect to an FPGA.
  134. Plants, William C., SRAM bus architecture and interconnect to an FPGA.
  135. Plants,William C., SRAM bus architecture and interconnect to an FPGA.
  136. Langhammer, Martin; Dhanoa, Kulwinder, Solving linear matrices in an integrated circuit device.
  137. Langhammer, Martin, Specialized processing block for implementing floating-point multiplier with subnormal operation support.
  138. Xu, Lei; Mauer, Volker; Perry, Steven, Specialized processing block for programmable integrated circuit device.
  139. Langhammer, Martin; Lee, Kwan Yee Martin; Azgomi, Orang; Streicher, Keone; Lin, Yi-Wen, Specialized processing block for programmable logic device.
  140. Langhammer, Martin; Lee, Kwan Yee Martin; Azgomi, Orang; Streicher, Keone; Pelt, Robert L., Specialized processing block for programmable logic device.
  141. Langhammer, Martin; Lee, Kwan Yee Martin; Nguyen, Triet M.; Streicher, Keone; Azgomi, Orang, Specialized processing block for programmable logic device.
  142. Lee, Kwan Yee Martin; Langhammer, Martin; Lin, Yi-Wen; Nguyen, Triet M., Specialized processing block for programmable logic device.
  143. Lee, Kwan Yee Martin; Langhammer, Martin; Nguyen, Triet M.; Lin, Yi-Wen, Specialized processing block for programmable logic device.
  144. Langhammer, Martin, Specialized processing block with fixed- and floating-point structures.
  145. Elftmann, Daniel; Speers, Theodore; Kundu, Arunangshu, Synchronous first-in/first-out block memory for a field programmable gate array.
  146. Elftmann, Daniel; Speers, Theodore; Kundu, Arunangshu, Synchronous first-in/first-out block memory for a field programmable gate array.
  147. Elftmann,Daniel; Speers,Theodore; Kundu,Arunangshu, Synchronous first-in/first-out block memory for a field programmable gate array.
  148. Elftmann,Daniel; Speers,Theodore; Kundu,Arunangshu, Synchronous first-in/first-out block memory for a field programmable gate array.
  149. Goodnow, Kenneth J.; Ogilvie, Clarence R.; Reynolds, Christopher B.; Smith, Jack R.; Ventrone, Sebastian T., System and method for dynamically executing a function in a programmable logic array.
  150. Goodnow,Kenneth J; Ogilvie,Clarence R; Reynolds,Christopher B; Smith,Jack R; Ventrone,Sebastian T, System and method for dynamically executing a function in a programmable logic array.
  151. Pedersen,Bruce B, Versatile RAM for a programmable logic device.
  152. Pedersen,Bruce B, Versatile RAM for programmable logic device.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로