$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods and apparatus for cleaning surfaces in a substrate processing system 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-019/00
출원번호 US-0748095 (1996-11-13)
발명자 / 주소
  • Fong Gary
  • Xia Li-Qun
  • Nemani Srinivas
  • Yieh Ellie
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Townsend and Townsend and Crew LLP
인용정보 피인용 횟수 : 243  인용 특허 : 6

초록

The present invention provides a method for cleaning a processing chamber. According to a specific embodiment, the method includes steps of depositing a dielectric film on a wafer on a ceramic heater in the processing chamber in a first time period, with the ceramic heater heated to a first temperat

대표청구항

[ What is claimed is:] [12.] A method for cleaning a processing chamber, said method comprising:depositing a dielectric film on a wafer on a ceramic heater in said processing chamber in a first time period, said ceramic heater heated to a first temperature of at least about 500.degree. C. during sai

이 특허에 인용된 특허 (6)

  1. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, CVD of silicon oxide using TEOS decomposition and in-situ planarization process.
  2. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  3. Fukuda Takuya (Hitachi JPX) Sato Junji (Hitachi JPX) Kanai Fumiyuki (Hoya JPX) Tsuchiya Atsushi (Hitachi JPX), Microwave plasma processing apparatus.
  4. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  5. Williams Larry (Milpitas CA) Pirkle David R. (Soquel CA) Harshbarger William (San Jose CA) Ebel Timothy (San Jose CA), Plasma cleaning method for removing residues in a plasma process chamber.
  6. Ye Yan (Campbell CA) Rhoades Charles Steven (Los Gatos CA) Yin Gerald Z. (Cupertino CA), Plasma dry cleaning of semiconductor processing chambers.

이 특허를 인용한 특허 (243)

  1. Chandran, Shankar W.; Hendrickson, Scott; Jones, Gwendolyn D.; Venkataraman, Shankar; Yieh, Ellie, Accelerated plasma clean.
  2. Chandran,Shankar N.; Hendrickson,Scott; Jones,Gwendolyn D.; Venkataraman,Shankar; Yieh,Ellie, Accelerated plasma clean.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. Lu You ; Dawn M. Hopper ; Richard J. Huang, Apparatus and method for manufacturing semiconductors using low dielectric constant materials.
  10. Quanyuan Shang ; Robert McCormick Robertson ; Kam S. Law ; Dan Maydan, Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology.
  11. Shang Quanyuan ; Robertson Robert McCormick ; Law Kam S. ; Maydan Dan, Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology.
  12. Thu Van Nguyen, Apparatus for automatically performing cleaning processes in a semiconductor wafer processing system.
  13. Hudson, Eric; Keil, Douglas; Marakhtanov, Alexei, Apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system.
  14. Matsuki, Nobuo, Apparatus for forming thin film on semiconductor substrate by plasma reaction.
  15. Harvey,Keith; Janakiraman,Karthik; Floyd,Kirby, Backflush chamber clean.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  19. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  20. Varadarajan, Bhadri N.; McLaughlin, Kevin M.; van Schravendijk, Bart, Carbon containing low-k dielectric constant recovery using UV treatment.
  21. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  22. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  23. Cui, Zhenjiang; Cox, Michael S.; Lai, Canfeng; Krishnaraj, Paddy, Chamber clean method using remote and in situ plasma cleaning systems.
  24. Lubomirsky, Dmitry, Chamber with flow-through source.
  25. Lubomirsky, Dmitry, Chamber with flow-through source.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  28. Saldana, Miguel A.; Williams, Damon Vincent, Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head.
  29. Xin Sheng Guo ; Keith Koai ; Ling Chen ; Mohan K. Bhan ; Bo Zheng, Chemical vapor deposition of copper using profiled distribution of showerhead apertures.
  30. Lee, Hee-Tae; Park, Yoon-Sei; Kim, Kwang-Sig; Kim, Jong-Woo, Chemical vapor deposition process and apparatus for performing the same.
  31. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  32. Wood, Bingxi Sun; Kawaguchi, Mark N.; Papanu, James S.; Mosely, Roderick C.; Lai, Chiukun Steven; Kao, Chien Teh; Ai, Hua; Wang, Wei W., Cleaning of native oxide with hydrogen-containing radicals.
  33. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  34. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  35. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  36. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  37. Iijima, Kiyohito; Mochizuki, Hiroaki, Control device and control method of plasma processing system, and storage medium storing control program.
  38. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  39. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  40. Pan,Rong; Ton,Van Q., Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications.
  41. Sandhu, Gurtej S.; Sharan, Sujit, Device for in-situ cleaning of an inductively-coupled plasma chambers.
  42. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  43. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  44. Strang,Eric J., Directed gas injection apparatus for semiconductor processing.
  45. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  46. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  47. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  48. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  49. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  50. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  51. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  52. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  53. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  54. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  55. Nowak, Thomas; Latchford, Ian; Tanaka, Tsutomu; Kim, Bok Heon; Xu, Ping; Foster, Jason; DeShong, Heath B.; Seamons, Martin, Enhanced remote plasma cleaning.
  56. Choi, Dongwon; Lee, Dong Hyung; Poon, Tze; Vellaikal, Manoj; Porshnev, Peter; Foad, Majeed, Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls.
  57. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  58. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  59. Hasebe, Kazuhide; Nodera, Nobutake; Endo, Atsushi; Umeki, Makoto; Nishimura, Katsumi; Minami, Masakazu; Yoshida, Makoto, Film formation apparatus and method of using the same.
  60. Hasebe, Kazuhide; Nakajima, Shigeru; Ogawa, Jun, Film formation method for forming silicon-containing insulating film.
  61. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  62. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  63. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  64. Lee Chiarn-Lung,TWX ; Chen Han-Chung,TWX ; Wang Je,TWX, Formation of dielectric layer employing high ozone:tetraethyl-ortho-silicate ratios during chemical vapor deposition.
  65. Ingle,Nitin K.; Wong,Shan; Xia,Xinyun; Banthia,Vikash; Bang,Won B.; Wang,Yen Kun V.; Yuan,Zheng, Gap-fill depositions in the formation of silicon containing dielectric materials.
  66. Murugesh,Laxman; Krishnaraj,Padmanabhan; Dunham,Carl, Gas distributor having directed gas flow and cleaning method.
  67. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  68. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  69. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  70. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  71. Nowak, Thomas; Rocha-Alvarez, Juan Carlos; Kaszuba, Andrzej; Hendrickson, Scott A.; Ho, Dustin W.; Baluja, Sanjeev; Cho, Tom; Chang, Josephine; M'Saad, Hichem, High efficiency UV curing system.
  72. Salvador P. Umotoy ; Steve H. Chiao ; Anh N. Nguyen ; Be V. Vo ; Joel Huston ; James J. Chen ; Lawrence Chung-Lai Lei, High temperature chemical vapor deposition chamber.
  73. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  74. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  75. Lo Chi-Hsin,CNX ; Cheu Hsing-Yuan,CNX, In-sit chamber cleaning method.
  76. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  77. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  78. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  79. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  80. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  81. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  82. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  83. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  84. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  85. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  86. Yamazaki, Shunpei; Ikeda, Hisao; Nakada, Masataka; Jintyou, Masami; Ono, Koji, Light-emitting module, light-emitting panel, and light-emitting device.
  87. Yuan,Zheng; Venkataraman,Shankar; Ching,Cary; Wong,Shang; Mukai,Kevin Mikio; Ingle,Nitin K., Limited thermal budget formation of PMD layers.
  88. Chatterjee, Amit; Mallick, Abhijit Basu; Ingle, Nitin K.; Underwood, Brian; Thadani, Kiran V.; Chen, Xiaolin; Dube, Abhishek; Liang, Jingmei, Low cost flowable dielectric films.
  89. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  90. McLaughlin, Kevin M.; Pharkya, Amit; Reddy, Kapu Sirish, Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing.
  91. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  92. Thadani, Kiran V.; Liang, Jingmei; Lee, Young S.; Srinivasan, Mukund, Low-K dielectric gapfill by flowable deposition.
  93. Tanaka, Tetsuhiro, Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device.
  94. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  95. 65906 ; 19870500 ; Jervis, Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system.
  96. Blonigan, Wendell T.; Gardner, James T., Method and apparatus for detecting the endpoint of a chamber cleaning.
  97. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  98. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  99. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  100. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  101. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  102. Venkataranan Shankar ; Hendrickson Scott ; Shmurun Inna ; Nguyen Son T., Method and apparatus for processing semiconductive wafers.
  103. Howland, Jr.,William H.; Healy, Jr.,James E., Method and apparatus for removing and/or preventing surface contamination of a probe.
  104. Fitzsimmons, John A.; Ivers, Thomas H.; Smetana, Pavel, Method for cleaning and preconditioning a chemical vapor deposition chamber dome.
  105. Heger,Percy; Hoerning,Tobias; Otto,Ralf, Method for determining the end point for a cleaning etching process.
  106. Himanshu Pokharna ; Chen-An Chen ; West M. Burghardt ; Reuban Richmonds, Method for endpoint detection using throttle valve position.
  107. Kim, Dong Jin; Lee, Seung Cheol, Method for fabricating flash memory device.
  108. Doshita,Hideki, Method for fabricating semiconductor device.
  109. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  110. Kao,Chien Teh; Chou,Jing Pei (Connie); Lai,Chiukin (Steven); Umotoy,Sal; Huston,Joel M.; Trinh,Son; Chang,Mei; Yuan,Xiaoxiong (John); Chang,Yu; Lu,Xinliang; Wang,Wei W.; Phan,See Eng, Method for front end of line fabrication.
  111. Graf Michael A. ; Benveniste Victor M., Method for in-process cleaning of an ion source.
  112. Ichijo, Mitsuhiro; Kuriki, Kazutaka; Yokoi, Tomokazu; Endo, Toshiya, Method for manufacturing microcrystalline semiconductor and thin film transistor.
  113. Sano, Atsushi; Asai, Masayuki; Yonebayashi, Masahiro, Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium.
  114. Chang,Won Kie; Lee,Jin Wook; Song,Won; Yoo,Jeong Sik; Kim,You Keun; Choi,Dong Uk, Method for manufacturing thin film transistor array panel.
  115. Kelman, Maxim; Shrinivasan, Krishnan; Wang, Feng; Lu, Victor; Chang, Sean; Lu, Guangquan, Method for reducing stress in porous dielectric films.
  116. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  117. Koemtzopoulos C. Robert ; Kozakevich Felix, Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers.
  118. Koemtzopoulos C. Robert ; Kozakevich Felix, Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers.
  119. Rossman, Kent, Method of cleaning a semiconductor processing chamber.
  120. Park, Dong Su; Lee, Tae Hyeok; Song, Chang Rock; Park, Cheol Hwan, Method of fabricating dielectric layer.
  121. Ko, Jungmin, Method of fin patterning.
  122. Matsuki, Nobuo, Method of forming low dielectric constant insulation film for semiconductor device.
  123. Sato, Hidenori; Ichinose, Katsuhiko; Ishii, Yukino; Jinbo, Tomoko, Method of manufacturing a semiconductor integrated circuit device.
  124. Kuo, Chia-ming; Huang, Chao-yuan, Method of rapid prevention of particle pollution in pre-clean chambers.
  125. Bandyopadhyay, Ananda K.; Cho, Seon-Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  126. Li-Qun Xia ; Ellie Yieh ; Srinivas Nemani, Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions.
  127. Hudson, Eric; Keil, Douglas; Marakhtanov, Alexei, Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system.
  128. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  129. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  130. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  131. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  132. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  133. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  134. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  135. Haverkamp, Jason Dirk; Hausmann, Dennis M.; McLaughlin, Kevin M.; Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  136. Haverkamp, Jason; Hausmann, Dennis; McLaughlin, Kevin; Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  137. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  138. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  139. Ingle, Nitin K.; Yuan, Zheng; Banthia, Vikash; Xia, Xinyun; Forstner, Hali J. L.; Pan, Rong, Multi-step anneal of thin films for film densification and improved gap-fill.
  140. Hua,Zhong Qiang; Tan,Zhengquan; Li,Zhuang; Rossman,Kent, Multistep remote plasma clean process.
  141. Yuan, Zheng; Arghavani, Reza; Venkataraman, Shankar, Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill.
  142. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  143. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  144. Ji, Bing; Ridgeway, Robert Gordon; Karwacki, Jr., Eugene Joseph; Withers, Jr., Howard Paul; Rogers, Steven Arthur; Maroulis, Peter James; Langan, John Giles, On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring.
  145. Kang, Ki-Nyeng; Kim, Na-Young; Kim, Dong-Gyu; Jo, Soo-Beom, Organic light emitting display device and method of manufacturing the same.
  146. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  147. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  148. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  149. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  150. Park, Sohyun; Zhu, Wen H.; Huang, Tzu-Fang; Xia, Li-Qun; M'Saad, Hichem, Oxide-like seasoning for dielectric low k films.
  151. Park,Sohyun; Zhu,Wen H.; Huang,Tzu Fang; Xia,Li Qun; M'Saad,Hichem, Oxide-like seasoning for dielectric low k films.
  152. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  153. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  154. Guo Xin Sheng ; Koai Keith ; Chen Ling ; Bhan Mohan K. ; Zheng Bo, Pattern of apertures in a showerhead for chemical vapor deposition.
  155. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  156. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  157. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  158. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  159. Sharan Sujit ; Sandhu Gurtej S., Plasma deposition tool operating method.
  160. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  161. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  162. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  163. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  164. Li,Lih Ping; Lu,Yung Chen, Post-cleaning chamber seasoning method.
  165. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  166. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  167. Murugesh, Laxman; Ahr, Gary R., Process endpoint detection in processing chambers.
  168. Fukiage,Noriaki, Processing apparatus and cleaning method.
  169. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  170. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  171. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  172. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  173. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  174. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  175. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  176. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  177. Varadarajan, Bhadri N., Progressive UV cure.
  178. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  179. Sugiyama, Toru; Nakano, Ryu, Purge step-controlled sequence of processing semiconductor wafers.
  180. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  181. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  182. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  183. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  184. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  185. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  186. Hua, Zhong Qiang; Kamath, Sanjay; Lee, Young S.; Yieh, Ellie Y.; Le, Hien-Minh Huu; Patel, Anjana M.; Gondhalekar, Sudhir R., Remote plasma clean process with cycled high and low pressure clean steps.
  187. Maydan Dan ; Nowak Romuald ; Sinha Ashok K., Remote plasma source for chamber cleaning.
  188. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  189. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  190. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  191. Zhao, Lai; Furuta, Gaku; Wang, Qunhua; Choi, Soo Young, Run-to-run stability of film deposition.
  192. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  193. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  194. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  195. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  196. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  197. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  198. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  199. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  200. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  201. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  202. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  203. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  204. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  205. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  206. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  207. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  208. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  209. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  210. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  211. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  212. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  213. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  214. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  215. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  216. Yang, Jang-Gyoo; Miller, Matthew L.; Chen, Xinglong; Chuc, Kien N.; Liang, Qiwei; Venkataraman, Shankar; Lubomirsky, Dmitry, Semiconductor processing system and methods using capacitively coupled plasma.
  217. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  218. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  219. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  220. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  221. Huston, Joel M., Showerhead assembly.
  222. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  223. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  224. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  225. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  226. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  227. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  228. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  229. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  230. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  231. Varadarajan, Bhadri; Chang, Sean; Sims, James S.; Lu, Guangquan; Mordo, David; Ilcisin, Kevin; Pandit, Mandar; Carris, Michael, Tensile dielectric films using UV curing.
  232. Xia, Li-Qun; Geiger, Fabrice; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film.
  233. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  234. Bang Won B. ; Losey Matthew W. ; Campana Francimar ; Nemani Srinivas ; Pichai Sundar, Throttle valve providing enhanced cleaning.
  235. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  236. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  237. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  238. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  239. Varadarajan, Bhadri; Antonelli, George A.; van Schravendijk, Bart, UV and reducing treatment for K recovery and surface clean in semiconductor processing.
  240. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  241. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  242. Yu-Chang Chow TW; Wen-Hua Cheng TW; Hung-Chen Yu TW; Chih-Ming Chi TW, Waferless seasoning process.
  243. Kippenberg, Tobias; Pfeiffer, Martin Hubert Peter; Kordts, Arne, Waveguide fabrication method.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로