$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Electroless deposition equipment or apparatus and method of performing electroless deposition 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/44
출원번호 US-0751631 (1996-11-18)
발명자 / 주소
  • Shacham-Diamand Yosi
  • Dubin Valery M.
  • Ting Chiu H.
  • Zhao Bin
  • Vasudev Prahalad K.
출원인 / 주소
  • Intel Corporation
인용정보 피인용 횟수 : 197  인용 특허 : 6

초록

An electroless deposition apparatus and a method of electroless deposition that uses a single process chamber for performing multiple processes by moving through the process chamber a variety of fluids one at a time in a sequential order.

대표청구항

[ We claim:] [1.] A method for processing a semiconductor wafer using electroless deposition that subjects the wafer to more than one processing fluid in a sealed process chamber while retaining the wafer in said sealed process chamber and allowing the processing of wafers to proceed in the absence

이 특허에 인용된 특허 (6)

  1. Kumasaka Osamu (Yamanashi JPX) Yamaoka Nobuki (Yamanashi JPX), Electroless plating method and apparatus.
  2. Feldman Leonard C. (Berkeley Heights NJ) Higashi Gregg S. (Basking Ridge NJ) Mak Cecilia Y. (Bedminster NJ) Miller Barry (Murray Hill NJ), Fabrication of electronic devices by electroless plating of copper onto a metal silicide.
  3. Donelon John J. (Mahopac NY) Doyle James P. (Bronx NY) Hurst ; Jr. Jerry E. (San Jose CA) Rossnagel Stephen M. (White Plains NY), Laser methods for circuit repair on integrated circuits and substrates.
  4. Moran John D. (Mesa AZ), Method for forming semiconductor contacts by electroless plating.
  5. Pan Ju-Don T. (Austin TX), Method of making an electrical multilayer interconnect.
  6. Morishita Shinya (Aichi JPX), Photo-plating solution and process.

이 특허를 인용한 특허 (197)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Ivanov, Igor C.; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  9. Ivanov, Igor C.; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  10. Ivanov, Igor; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  11. Dubin, Valery M.; Caillouette, Vincent R.; Thomas, Christopher D.; Cheng, Chin-Chang, Apparatus and method for electroless spray deposition.
  12. Ting Chiu H. ; Holtkamp William H. ; Ko Wen C., Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer.
  13. Hsu, Wei-Tse; Lan, Chung-Wen; Luo, Yi-Song, Apparatus for chemical bath deposition between two covers, wherein a cover is a substrate.
  14. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  15. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  16. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Lubomirsky, Dmitry, Chamber with flow-through source.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  23. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  24. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  25. Wytman Joseph, Compliant wafer chuck.
  26. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  27. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  28. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  29. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  30. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  31. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  32. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  35. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  36. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  37. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  38. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  39. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  40. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  41. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  42. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  43. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  44. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  45. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  46. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  47. McConnell Christopher F. ; Verhaverbeke Steven, Electroless metal deposition of electronic components in an enclosable vessel.
  48. Chen, Jason; Liu, Nakano; Shao, Winson; Chu, Wen; Hua, Chang-Hwang, Electroless plating apparatus and method.
  49. Chan, Cheng Hsun; Hwang, Chien Ling, Electroless plating apparatus with non-liquid heating source.
  50. Thie, William; Boyd, John M.; Dordi, Yezdi; Redeker, Fritz C., Electroless plating method and apparatus.
  51. Kong, Bob; Li, Nanhai, Electroless plating solution and process.
  52. Dubin, Valery M.; Fajardo, Arnel; Cheng, Chin Chang, Electroless plating systems and methods.
  53. Kovarsky, Nicolay, Electroplating of semiconductor wafers.
  54. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  55. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  56. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  57. Amador,Gonzalo; Stierman,Roger J., Fixture and method for uniform electroless metal deposition on integrated circuit bond pads.
  58. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  59. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  60. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  61. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  62. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  63. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  64. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  65. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  66. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  67. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  68. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  69. Dubin,Valery M., Integrated circuit with metal layer having carbon nanotubes and methods of making same.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  72. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  73. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  74. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  75. Ting Chiu H. ; Holtkamp William H. ; Brodowski Richard W. ; Wytman Joseph B., Introducing and reclaiming liquid in a wafer processing chamber.
  76. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  77. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  78. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  79. Ivanov, Igor C.; Zhang, Jonathan Welgun; Kolics, Artur, Method and apparatus for electroless deposition with temperature-controlled chuck.
  80. Ting Chiu H. ; Holtkamp William H., Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate.
  81. Chiu H. Ting ; William H. Holtkamp, Method for depositing and/or removing material on a substrate.
  82. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  83. Iijima, Tadashi; Matsuda, Tetsuo, Method for forming a plating film, and device for forming the same.
  84. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  85. Ivanov, Igor C.; Zhang, Weiguo; Kolics, Artur, Method for strengthening adhesion between dielectric layers formed adjacent to metal layers.
  86. Ivanov, Igor C.; Zhang, Weiguo; Kolics, Artur, Method for strengthening adhesion between dielectric layers formed adjacent to metal layers.
  87. Ivanov, Igor C., Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth.
  88. Ivanov,Igor C., Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth.
  89. Ko, Jungmin, Method of fin patterning.
  90. Wytman, Joseph, Method of processing a wafer using a compliant wafer chuck.
  91. Ivanov, Igor C.; Zhang, Weiguo, Methods and system for processing a microelectronic topography.
  92. Ivanov, Igor C.; Zhang, Weiguo, Methods and systems for processing a microelectronic topography.
  93. Ivanov,Igor C.; Zhang,Weiguo, Methods and systems for processing a microelectronic topography.
  94. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  95. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  96. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  97. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  98. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  99. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  100. Ivanov, Igor C.; Zhang, Weiguo, Microelectronic fabrication system components and method for processing a wafer using such components.
  101. Ivanov, Igor C.; Zhang, Welguo, Microelectronic fabrication system components and method for processing a wafer using such components.
  102. Ting Chiu H. ; Holtkamp William H., Multiple station processing chamber and method for depositing and/or removing material on a substrate.
  103. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  104. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  105. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  106. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  107. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  108. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  109. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  110. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  111. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  112. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  113. Inoue, Hiroaki; Mishima, Koji; Karimata, Tsutomu; Nakamura, Kenji; Matsumoto, Moriji; Kunisawa, Junji, Plating apparatus and method.
  114. Dai, Haixia; Pakbaz, Khashayar; Spaid, Michael; Nikiforov, Theo, Plating bath and surface treatment compositions for thin film deposition.
  115. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  116. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  117. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  118. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  119. Takeshi Nogami JP; Shekhar Pramanick, Prevention of inter-channel current leakage in semiconductors.
  120. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  121. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  122. Ting Chiu H. ; Holtkamp William H. ; Ko Wen C. ; Lowery Kenneth J. ; Cho Peter, Process chamber and method for depositing and/or removing material on a substrate.
  123. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  124. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  125. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  126. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  127. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  128. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  129. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  130. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  133. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  134. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  135. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  136. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  137. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  138. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  139. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  140. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  141. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  142. Ting Chiu H. ; Holtkamp William H. ; Ko Wen C., Rotating anode for a wafer processing chamber.
  143. Weidman,Timothy W., Ruthenium containing layer deposition method.
  144. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  145. Dai, Haixia; Pakbaz, Khashayar; Spaid, Michael; Nikiforov, Theo, Seed layers, cap layers, and thin films and methods of making thereof.
  146. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  147. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  148. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  149. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  150. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  151. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  152. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  153. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  154. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  155. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  156. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  157. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  158. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  159. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  160. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  161. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  162. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  163. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  164. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  165. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  166. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  167. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  168. Martin, Maynard, Semiconductor processing device.
  169. Martin, Maynard, Semiconductor processing device.
  170. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  171. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  172. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  173. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  174. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  175. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  176. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  177. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  178. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  179. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  180. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  181. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  182. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  183. Thie, William; Boyd, John M.; Dordi, Yezdi; Redeker, Fritz C., Simultaneous electroless plating of two substrates.
  184. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  185. Ivanov, Igor; Ting, Chiu; Zhang, Jonathan Weiguo; Kolics, Arthur, Spatially-arranged chemical processing station.
  186. Li, Nanhai; Petrov, Nicolai; Kolics, Artur, Temperature control sequence of electroless plating baths.
  187. Ivanov, Igor C.; Zhang, Jonathan Weiguo; Kolics, Artur, Temperature-controlled substrate holder for processing in fluids.
  188. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  189. Chandross Edwin Arthur ; Crone Brian Keith ; Dodabalapur Ananth ; Filas Robert William, Thin film transistors.
  190. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  191. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  192. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  193. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  194. Joseph Wytman, Two-piece chuck.
  195. Ivanov, Igor C.; Zhang, Jonathan Weiguo, Universal substrate holder for treating objects in fluids.
  196. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  197. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로