$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

SRAM having load transistor formed above driver transistor 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/11
출원번호 US-0460641 (1995-06-02)
우선권정보 JP-0049312 (1990-03-02)
발명자 / 주소
  • Ikeda Shuji,JPX
  • Meguro Satoshi,JPX
  • Hashiba Soichiro,JPX
  • Kuramoto Isamu,JPX
  • Koike Atsuyoshi,JPX
  • Sasaki Katsuro,JPX
  • Ishibashi Koichiro,JPX
  • Yamanaka Toshiaki,JPX
  • Hashimoto Naotaka,JPX
출원인 / 주소
  • Hitachi, Ltd., JPX
대리인 / 주소
    Antonelli, Terry, Stout & Kraus, LLP
인용정보 피인용 횟수 : 185  인용 특허 : 1

초록

The source line is formed of a conductive layer identical to that of the word line. The individual data lines of the complementary data line are formed of an identical conductive layer which is different from that of the word line and the source line. The identical conductive layer between the word

대표청구항

[ What is claimed is:] [1.] A semiconductor memory device comprising:a semiconductor substrate having a main surface;a first semiconductor region formed, at a first region on said main surface, in said semiconductor substrate;a static random access memory including memory cells formed at a second re

이 특허에 인용된 특허 (1)

  1. Meguro Satoshi (Hinode) Uchibori Kiyofumi (Hachioji) Suzuki Norio (Koganei) Motoyoshi Makoto (Hachioji) Koike Atsuyoshi (Kokubunji) Yamanaka Toshiaki (Houya) Sakai Yoshio (Shiroyama) Kaga Toru (Urawa, Semiconductor integrated circuit device.

이 특허를 인용한 특허 (185)

  1. Dadashev, Oleg; Betser, Yoram; Maayan, Eduardo, Apparatus and methods for multi-level sensing in a memory array.
  2. Becker, Scott T., Cell circuit and layout with linear finfet structures.
  3. Shor, Joseph S.; Maayan, Eduardo; Polansky, Yan, Charge pump stage with body effect minimization.
  4. Shor, Joseph S.; Maayan, Eduardo; Polansky, Yan, Charge pump stage with body effect minimization.
  5. Kushnarenko, Alexander, Circuit and method for powering up an integrated circuit and an integrated circuit utilizing same.
  6. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  7. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  8. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  9. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Circuits with linear finfet structures.
  10. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  11. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  12. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  13. Shappir, Assaf, Contact in planar NROM technology.
  14. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts.
  15. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track.
  16. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on two gate electrode tracks.
  17. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track.
  18. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer.
  19. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit including offset inner gate contacts.
  20. Bloom, Ilan; Eitan, Boaz; Irani, Rustom, Dense non-volatile memory array and method of fabrication.
  21. Zuniga, Marco A., Diffused drain transistor.
  22. Irani, Rustom; Eitan, Boaz; Bloom, Ilan; Shappir, Assaf, Double density NROM with nitride strips (DDNS).
  23. Zuniga, Marco A.; You, Budong, Dual gate lateral double-diffused MOSFET (LDMOS) transistor.
  24. Zuniga, Marco A.; You, Budong, Dual gate lateral double-diffused MOSFET (LDMOS) transistor.
  25. Sofer,Yair; Maayan,Eduardo; Betser,Yoram, Dynamic matching of signal path and reference path for sensing.
  26. Eliyahu, Ron; Maayan, Eduardo; Bloom, Ilan; Eitan, Boaz, EEPROM array and method for operation thereof.
  27. Maayan, Eduardo; Eliyahu, Ron; Eitan, Boaz, EEPROM array and method for operation thereof.
  28. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  29. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  30. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  31. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Finfet transistor circuit.
  32. Jun-eui Song KR, Full CMOS SRAM cell.
  33. Dvir, Ran; Cohen, Zeev, High voltage insertion in flash memory cards.
  34. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  35. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  36. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  37. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length.
  38. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels.
  39. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels.
  40. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels.
  41. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel.
  42. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact.
  43. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode.
  44. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature.
  45. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature.
  46. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer.
  47. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  48. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships.
  49. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  50. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications.
  51. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications.
  52. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications.
  53. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications.
  54. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor.
  55. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors.
  56. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts.
  57. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors.
  58. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  59. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  60. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer.
  61. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature.
  62. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer.
  63. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode conductive structures with different extension distances beyond contact.
  64. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends.
  65. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear gate electrode structures having different extension distances beyond contact.
  66. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode conductive structures having offset ends.
  67. Becker, Scott T.; Smayling, Michael C., Integrated circuit with offset line end spacings in linear gate electrode level.
  68. Chow, Lap-Wai; Clark, Jr., William M.; Baukus, James P., Integrated circuit with reverse engineering protection.
  69. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit within semiconductor chip including cross-coupled transistor configuration.
  70. You, Budong; Zuniga, Marco A., Lateral double-diffused MOSFET.
  71. You, Budong; Zuniga, Marco A., Lateral double-diffused MOSFET.
  72. You, Budong; Zuniga, Marco A., Lateral double-diffused MOSFET.
  73. Betser, Yoram; Kushnarenko, Alexander; Dadashev, Oleg, Measuring and controlling current consumption and output current of charge pumps.
  74. Polansky, Yan; Lavan, Avi, Memory array programming circuit and a method for using the circuit.
  75. Dadashev,Oleg, Method and apparatus for measuring charge pump output current.
  76. Lusky, Eli; Eitan, Boaz, Method for erasing a memory cell.
  77. Sofer, Yair; Eitan, Boaz, Method for erasing a memory cell.
  78. Maayan, Eduardo; Eliyahu, Ron; Eisen, Shai; Eitan, Boaz, Method for operation of an EEPROM array, including refresh thereof.
  79. Maayan, Eduardo; Eitan, Boaz; Lann, Ameet, Method for programming a reference cell.
  80. Maayan, Eduardo; Eliyahu, Ron; Lann, Ameet; Eitan, Boaz, Method for programming a reference cell.
  81. Maayan,Eduardo; Eliyahu,Ron; Lann,Ameet; Eitan,Boaz, Method for programming a reference cell.
  82. Eitan, Boaz; Maayan, Eduardo, Method for reducing voltage drops in symmetric array architectures.
  83. Boaz Eitan IL; Eduardo Maayan IL, Method for regulating read voltage level at the drain of a cell in a symmetric array.
  84. Lusky, Eli; Eitan, Boaz, Method of erasing non-volatile memory cells.
  85. You, Budong; Zuniga, Marco A., Method of fabricating a lateral double-diffused MOSFET.
  86. You,Budong; Zuniga,Marco A., Method of fabricating a lateral double-diffused MOSFET.
  87. Zuniga,Marco A.; You,Budong, Method of fabricating a lateral double-diffused MOSFET (LDMOS) transistor.
  88. You, Budong; Zuniga, Marco A., Method of fabricating a lateral double-diffused MOSFET (LDMOS) transistor and a conventional CMOS transistor.
  89. You, Budong; Zuniga, Marco A., Method of fabricating a lateral double-diffused MOSFET (LDMOS) transistor and a conventional CMOS transistor.
  90. You, Budong; Zuniga, Marco A., Method of fabricating a lateral double-diffused MOSFET (LDMOS) transistor and a conventional CMOS transistor.
  91. You, Budong; Zuniga, Marco A., Method of fabricating a lateral double-diffused MOSFET (LDMOS) transistor and a conventional CMOS transistor.
  92. Kikushima, Kenichi; Ootsuka, Fumio; Sato, Kazushige, Method of manufacturing a semiconductor integrated circuit device.
  93. Kikushima,Kenichi; Ootsuka,Fumio; Sato,Kazushige, Method of manufacturing a semiconductor integrated circuit device.
  94. Hashimoto, Naotaka; Hoshino, Yutaka; Ikeda, Shuji, Method of manufacturing semiconductor integrated circuit device having capacitor element.
  95. Hashimoto, Naotaka; Hoshino, Yutaka; Ikeda, Shuji, Method of manufacturing semiconductor integrated circuit device having capacitor element.
  96. Hashimoto,Naotaka; Hoshino,Yutaka; Ikeda,Shuji, Method of manufacturing semiconductor integrated circuit device having capacitor element.
  97. Hashimoto,Naotaka; Hoshino,Yutaka; Ikeda,Shuji, Method of manufacturing semiconductor integrated circuit device having capacitor element.
  98. Eitan, Boaz; Shainsky, Natalie, Method, circuit and device for disturb-control of programming nonvolatile memory cells by hot-hole injection (HHI) and by channel hot-electron (CHE) injection.
  99. Shappir,Assaf; Eisen,Shai, Method, circuit and systems for erasing one or more non-volatile memory cells.
  100. Cohen, Guy; Polansky, Yan, Method, system and circuit for programming a non-volatile memory array.
  101. Cohen,Guy, Method, system, and circuit for operating a non-volatile memory array.
  102. Shappir,Assaf; Avni,Dror; Eitan,Boaz, Method, system, and circuit for operating a non-volatile memory array.
  103. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the Same.
  104. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the same.
  105. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and semiconductor devices implementing the same.
  106. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  107. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  108. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  109. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  110. Reed, Brian; Smayling, Michael C.; Becker, Scott T., Methods for controlling microloading variation in semiconductor wafer layout and fabrication.
  111. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  112. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  113. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  114. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  115. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  116. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  117. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  118. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  119. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  120. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  121. Maayan, Eduardo; Eitan, Boaz, NROM NOR array.
  122. Eitan, Boaz; Shainsky, Natalie, NROM non-volatile memory and mode of operation.
  123. Eitan, Boaz, Non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.
  124. Eitan,Boaz, Non-volatile memory cell and non-volatile memory devices.
  125. Maayan, Eduardo, Non-volatile memory device and method for reading cells.
  126. Lusky, Eli; Shappir, Assaf; Irani, Rustom; Eitan, Boaz, Non-volatile memory structure and method of fabrication.
  127. Lusky,Eli; Eitan,Boaz; Cohen,Guy; Maayan,Eduardo, Operating array cells with matched reference cells.
  128. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  129. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  130. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  131. Shappir,Assaf; Eisen,Shai, Partial erase verify.
  132. Baukus, James P.; Chow, Lap-Wai; Clark, Jr., William M., Programmable connector/isolator and double polysilicon layer CMOS process with buried contact using the same.
  133. Avni, Dror; Eitan, Boaz, Programming and erasing methods for a non-volatile memory cell.
  134. Avni, Dror; Eitan, Boaz, Programming and erasing methods for a non-volatile memory cell.
  135. Bloom, Ilan; Eitan, Boaz; Cohen, Zeev; Finzi, David; Maayan, Eduardo, Programming of nonvolatile memory cells.
  136. Lusky,Eli; Bloom,Ilan; Shappir,Assaf; Eitan,Boaz, Protection of NROM devices from charge damage.
  137. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  138. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  139. Eitan, Boaz, Secondary injection for NROM.
  140. Niuya Takayuki, Self-aligned contact structure and method.
  141. Becker, Scott T.; Smayling, Michael C., Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures.
  142. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid.
  143. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid.
  144. Kornachuk, Stephen; Mali, James; Lambert, Carole; Becker, Scott T.; Reed, Brian, Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires.
  145. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  146. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  147. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods.
  148. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit defined within dynamic array section.
  149. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  150. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  151. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same.
  152. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same.
  153. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures.
  154. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same.
  155. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first metal structures.
  156. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures.
  157. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods.
  158. Ishigaki Yoshiyuki,JPX ; Fujii Yasuhiro,JPX, Semiconductor device having impurity regions with varying impurity concentrations.
  159. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  160. Kikushima, Kenichi; Ootsuka, Fumio; Sato, Kazushige, Semiconductor integrated circuit device and method of manufacture thereof.
  161. Ikeda,Shuji; Yamanaka,Toshiaki; Kikushima,Kenichi; Mitani,Shinichiro; Sato,Kazushige; Fukami,Akira; Iida,Masaya; Shimizu,Akihiro, Semiconductor integrated circuit device and process for manufacturing the same.
  162. Hashimoto,Naotaka; Hoshino,Yutaka; Ikeda,Shuji, Semiconductor integrated circuit device having capacitor element.
  163. Naotaka Hashimoto JP; Yutaka Hoshino JP; Shuji Ikeda JP, Semiconductor integrated circuit device having capacitor element.
  164. Sunami, Hideo; Itoh, Kiyoo; Shimada, Toshikazu; Nakazato, Kazuo; Mizuta, Hiroshi, Semiconductor memory device and manufacturing method.
  165. Sunami, Hideo; Itoh, Kiyoo; Shimada, Toshikazu; Nakazato, Kazuo; Mizuta, Hiroshi, Semiconductor memory device and manufacturing method thereof.
  166. Tran, Luan C., Semiconductor processing methods of forming integrated circuitry.
  167. Tran,Luan C., Semiconductor processing methods of forming integrated circuitry.
  168. Tran,Luan C., Semiconductor processing methods of forming integrated circuitry.
  169. Tran,Luan C., Semiconductor processing methods of forming integrated circuitry.
  170. Sekine,Junichi, Semiconductor storage device.
  171. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  172. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  173. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  174. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  175. Maayan, Eduardo; Eitan, Boaz, Symmetric architecture for memory cells having widely spread metal bit lines.
  176. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  177. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  178. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  179. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  180. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  181. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  182. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  183. Lin,Mou Shiung, Top layers of metal for high performance IC's.
  184. Eitan Boaz,ILX, Two bit ROM cell and process for producing same.
  185. Eitan,Boaz, Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.

관련 콘텐츠

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로