$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Multiple chamber integrated process system 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/00
출원번호 US-0926568 (1997-09-10)
발명자 / 주소
  • Maydan Dan
  • Somekh Sasson
  • Wang David Nin-Kou
  • Cheng David
  • Toshima Masato
  • Harari Isaac
  • Hoppe Peter D.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Kenyon & Kenyon
인용정보 피인용 횟수 : 220  인용 특허 : 11

초록

An integrated modular multiple chamber vacuum processing system is disclosed. The system includes a load lock, may include an external cassette elevator, and an internal load lock wafer elevator, and also includes stations about the periphery of the load lock for connecting one, two or several vacuu

대표청구항

[ Having thus described preferred and alternative embodiments of our multiple chamber integrated process system, what is claimed is:] [1.] An integrated workpiece vacuum processing system comprising:a vacuum transfer chamber having a closable entrance;a first vacuum processing chamber mounted to sai

이 특허에 인용된 특허 (11)

  1. Richards Edmond A. (Marlton NJ), Apparatus for conveying a semiconductor wafer.
  2. Tateishi Hideki (Yokohama JPX) Kamei Tsuneaki (Kanagawa JPX) Abe Katsuo (Yokosuka JPX) Kobayashi Shigeru (Kawasaki JPX) Aiuchi Susumu (Yokohama JPX) Nakatsukasa Masashi (Tama JPX) Takahashi Nobuyuki , Apparatus for performing continuous treatment in vacuum.
  3. Gallego JosM. (Ormskirk GB2), Apparatus for the deposition of multi-layer coatings.
  4. Jacoby Hans-Dieter (Werdorf DEX) Schmidt Peter (Huettenberg DEX), Device for automatically transporting disk shaped objects.
  5. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  6. Bithell Roger M. (Novato CA) Slomowitz Harry (Walnut Creek CA), Pin lift plasma processing.
  7. Warenback, Douglas H.; Rathmann, Thomas M.; Mirkovich, Ninko T., Plasma reactor chuck assembly.
  8. Mimura Takashi (Machida JPX) Hikosaka Kohki (Yokohama JPX) Odani Kouichiro (Sagamihara JPX), Process and apparatus for fabricating a semiconductor device.
  9. Messer Mark G. (Los Gatos CA) Stark Lawrence R. (San Jose CA), Wafer arm handler mechanism.
  10. Purser, Kenneth H., Wafer holding apparatus for ion implantation.
  11. Layman Frederick P. (Fremont CA) Huntley David A. (Mountain View CA) Dick Paul H. (San Jose CA) Coad George L. (Lafayette CA) Kuhlman Michael J. (Fremont CA) Vecta Roger M. (San Jose CA) Hobson Phill, Wafer processing system.

이 특허를 인용한 특허 (220)

  1. Chen, Chen-An; Gelatos, Avgerinos; Yang, Michael X.; Xi, Ming; Hytros, Mark M., Apparatus and method for plasma assisted deposition.
  2. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  3. Kim, Sam H.; Hosokawa, Akihiro; Suh, Dong Choon, Apparatus and method for uniform substrate heating and contaminate collection.
  4. Yokomizo,Kenji, Apparatus and method of securing a workpiece during high-pressure processing.
  5. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  6. Siniaguine Oleg ; Tokmouline Iskander, Apparatus for plasma jet treatment of substrates.
  7. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of a workpiece.
  8. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of multiple workpieces.
  9. Stevens, Craig L.; Levy, Karl B., Architecture for high throughput semiconductor processing applications.
  10. Dickinson, John, Atmospheric robot handling equipment.
  11. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  12. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  13. Yu Allen S. ; Steffan Paul J., Automatic method to eliminate first-wafer effect.
  14. Tanaka Keiichi,JPX ; Sohma Masaki,JPX ; Asao Shinsuke,JPX ; Ozawa Masahito,JPX, Auxiliary vacuum chamber and vacuum processing unit using same.
  15. van der Meulen, Peter, Batch wafer alignment.
  16. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  17. van der Meulen, Peter, Bypass thermal adjuster for vacuum semiconductor processing.
  18. Inagawa,Makoto; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  19. Shang, Quanyuan; Kardokus, Janine; Hosokawa, Akihiro, Chamber for uniform substrate heating.
  20. Shang,Quanyuan; Kardokus,Janine; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  21. Jones,William Dale, Control of fluid flow in the processing of an object with a fluid.
  22. Lee,Jae Chull; Berkstresser,David, Curved slit valve door with flexible coupling.
  23. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  24. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  25. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  26. Lee, Jae-Chull; Kurita, Shinichi; White, John M.; Anwar, Suhail, Decoupled chamber body.
  27. Law, Kam; Shang, Quanyuan; Harshbarger, William Reid; Maydan, Dan, Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications.
  28. Law,Kam; Shang,Quanyuan; Harshbarger,William Reid; Maydan,Dan, Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications.
  29. Yoon, Hyungsuk A.; Fang, Hongbin; Yang, Michael X., Deposition of tungsten films.
  30. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  31. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  32. Kurita, Shinichi; Blonigan, Wendell T., Double dual slot load lock chamber.
  33. Avi Tepman ; Donald J. K. Olgado ; Allen L. D'Ambra, Dual buffer chamber cluster tool for semiconductor wafer processing.
  34. Lei, Lawrence C.; Kori, Moris, Dual robot processing system.
  35. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  36. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  37. Albert Wang, End-effector with integrated cooling mechanism.
  38. Chen,Ling; Chang,Mei, Enhancement of copper line reliability using thin ALD tan film to cap the copper line.
  39. Choul-gue Park KR, Etching apparatus for manufacturing semiconductor devices.
  40. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  41. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  42. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  43. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  44. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  45. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  46. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  47. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  48. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  49. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  50. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  51. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  52. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  53. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  54. Sheydayi,Alexei; Sutton,Thomas, Gate valve for plus-atmospheric pressure semiconductor process vessels.
  55. Sutton, Thomas R.; Biberger, Maximilan A., High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism.
  56. Jones, William D., High pressure fourier transform infrared cell.
  57. Biberger, Maximilian A.; Layman, Frederick Paul; Sutton, Thomas Robert, High pressure processing chamber for semiconductor substrate.
  58. Biberger,Maximilian A.; Layman,Frederick Paul; Sutton,Thomas Robert, High pressure processing chamber for semiconductor substrate.
  59. Stevens, Craig Lyle, High throughput architecture for semiconductor processing.
  60. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  61. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  62. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, In-line sputter deposition system.
  63. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  64. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  65. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  66. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Integration of titanium and titanium nitride layers.
  67. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Integration of titanium and titanium nitride layers.
  68. Hosek, Martin; Krishnasamy, Jay; Prochazka, Jan, Intelligent condition monitoring and fault diagnostic system for preventative maintenance.
  69. Hosek, Martin; Krishnasamy, Jay; Prochazka, Jan, Intelligent condition monitoring and fault diagnostic system for preventative maintenance.
  70. Hosek, Martin; Krishnasmy, Jayaraman; Prochazka, Jan, Intelligent condition monitoring and fault diagnostic system for preventative maintenance.
  71. Hosek, Martin; Krishnasamy, Jay; Prochazka, Jan, Intelligent condition-monitoring and fault diagnostic system for predictive maintenance.
  72. Kurita, Shinichi; Blonigan, Wendell T.; Tanase, Yoshiaki, Large area substrate transferring method for aligning with horizontal actuation of lever arm.
  73. Teramoto, Satoshi; Ohtani, Hisashi; Miyanaga, Akiharu; Hamatani, Toshiji; Yamazaki, Shunpei, Laser processing method.
  74. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  75. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  76. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  77. Hofmeister, Christopher; Caveney, Robert T., Linear substrate transport apparatus.
  78. Holtkamp, William; Kremerman, Izya; Hofmeister, Christopher; Pickreign, Richard, Linearly distributed semiconductor workpiece processing tool.
  79. Englhardt, Eric A.; Szudarski, Steve; Cornelius, Andrew Scott; Puri, Amitabh; Rice, Michael Robert; Hudgens, Jeffrey C.; Sansoni, Steven V.; Decottignies, Robert Irwin; Hruzek, Dean C.; Irwin, Peter; Merry, Nir, Linked vacuum processing tools and methods of using the same.
  80. Kurita,Shinichi; Blonigan,Wendell T.; Tanase,Yoshiaki, Load lock chamber for large area substrate processing system.
  81. Kurita,Shinichi; Blonigan,Wendell T., Load lock chamber having two dual slot regions.
  82. Lee, Jae-Chull; Anwar, Suhail; Kurita, Shinichi, Load lock chamber with decoupled slit valve door seal compartment.
  83. Sheydayi,Alexei, Method and apparatus for clamping a substrate in a high pressure processing system.
  84. Goshi,Gentaro, Method and apparatus for cooling motor bearings of a high pressure pump.
  85. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer.
  86. Mustafa Pinarbasi, Method and apparatus for providing deposited layer structures and articles so produced.
  87. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method and apparatus for supercritical processing of multiple workpieces.
  88. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  89. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method and system for controlling the presence of fluorine in refractory metal layers.
  90. Sinha,Ashok; Xi,Ming; Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  91. Parent,Wayne M.; Goshi,Gentaro, Method and system for cooling a pump.
  92. Parent,Wayne M., Method and system for determining flow conditions in a high pressure processing system.
  93. Parent, Wayne M.; Geshell, Dan R., Method and system for passivating a processing chamber.
  94. Hansen,Brandon; Lowe,Marie, Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid.
  95. Hsiao Yi Li,TWX, Method for detecting wafer orientation during transport.
  96. Kim, Hai-Won; Chae, Su-Jin, Method for fabricating contact plug with low contact resistance.
  97. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  98. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  99. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  100. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  101. Kawamura,Kohei; Asano,Akira; Miyatani,Koutarou; Hillman,Joseph T.; Palmer,Bentley, Method for supercritical carbon dioxide processing of fluoro-carbon films.
  102. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method for supercritical processing of multiple workpieces.
  103. Kurita,Shinichi; Blonigan,Wendell T., Method for transferring substrates in a load lock chamber.
  104. Bachrach, Robert Z., Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots.
  105. Bachrach,Robert Z., Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots.
  106. Biberger, Maximilian A.; Schilling, Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  107. Biberger,Maximilian A.; Schilling,Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  108. Yamazaki, Shunpei; Teramoto, Satoshi; Kusumoto, Naoto; Ohnuma, Hideto, Method of manufacturing a semiconductor device and manufacturing system thereof.
  109. Yamazaki, Shunpei; Teramoto, Satoshi; Kusumoto, Naoto; Ohnuma, Hideto, Method of manufacturing a semiconductor device and manufacturing system thereof.
  110. Mitsuki, Toru; Shichi, Takeshi; Maekawa, Shinji; Shibata, Hiroshi; Miyanaga, Akiharu, Method of manufacturing a semiconductor device having a crystallized semiconductor film.
  111. Biberger,Maximilian Albert; Layman,Frederick Paul; Sutton,Thomas Robert, Method of supercritical processing of a workpiece.
  112. Fielden, John; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan, Methods and systems for determining a characteristic of a layer formed on a specimen by a deposition process.
  113. Nikoonahad, Mehrdad; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Wack, Dan; Fielden, John, Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation.
  114. Fielden,John; Levy,Ady; Brown,Kyle A.; Bultman,Gary; Nikoonahad,Mehrdad; Wack,Dan, Methods and systems for determining a composition and a thickness of a specimen.
  115. Wack, Dan; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Fielden, John, Methods and systems for determining a critical dimension an a presence of defects on a specimen.
  116. Nikoonahad, Mehrdad; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Wack, Dan; Fielden, John, Methods and systems for determining a critical dimension and a thin film characteristic of a specimen.
  117. Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John, Methods and systems for determining a critical dimension and overlay of a specimen.
  118. Levy, Ady; Brown, Kyle A.; Smedt, Rodney; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John; Abdul-Halim, Ibrahim, Methods and systems for determining a critical dimension and overlay of a specimen.
  119. Levy, Ady; Brown, Kyle A.; Smedt, Rodney; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John; Abdul-Halim, Ibrahim, Methods and systems for determining a critical dimension and overlay of a specimen.
  120. Levy, Ady; Brown, Kyle A.; Smedt, Rodney; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John; Abdulhalim, Ibrahim, Methods and systems for determining a critical dimension and overlay of a specimen.
  121. Nikoonahad, Mehrdad; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Wack, Dan; Fielden, John, Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen.
  122. Bultman,Gary; Levy,Ady; Brown,Kyle A.; Nikoonahad,Mehrdad; Wack,Dan; Fielden,John, Methods and systems for determining a presence of defects and a thin film characteristic of a specimen.
  123. Bultman, Gary; Levy, Ady; Brown, Kyle A.; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John, Methods and systems for determining a presence of macro and micro defects on a specimen.
  124. Bultman,Gary; Levy,Ady; Brown,Kyle A.; Nikoonahad,Mehrdad; Wack,Dan; Fielden,John, Methods and systems for determining a presence of macro and micro defects on a specimen.
  125. Wack, Dan; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Fielden, John, Methods and systems for determining a presence of macro defects and overlay of a specimen.
  126. Wack, Dan; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Fielden, John, Methods and systems for determining a presence of macro defects and overlay of a specimen.
  127. Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John, Methods and systems for determining a property of a specimen prior to, during, or subsequent to an etch process.
  128. Wack,Dan; Levy,Ady; Brown,Kyle A.; Bultman,Gary; Nikoonahad,Mehrdad; Fielden,John, Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography.
  129. Fielden, John; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan, Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen.
  130. Fielden,John; Levy,Ady; Brown,Kyle A.; Bultman,Gary; Nikoonahad,Mehrdad; Wack,Dan, Methods and systems for determining a thin film characteristic and an electrical property of a specimen.
  131. Wack,Dan; Levy,Ady; Brown,Kyle A.; Bultman,Gary; Nikoonahad,Mehrdad; Fielden,John, Methods and systems for determining an adhesion characteristic and a thickness of a specimen.
  132. Nikoonahad, Mehrdad; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Wack, Dan; Fielden, John, Methods and systems for determining an implant characteristic and a presence of defects on a specimen.
  133. Nikoonahad, Mehrdad; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Wack, Dan; Fielden, John, Methods and systems for determining at least four properties of a specimen.
  134. Wack, Dan; Levy, Ady; Brown, Kyle A.; Smedt, Rodney C.; Bultman, Gary; Nikoonahad, Mehrdad; Fielden, John, Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen.
  135. Fielden, John; Levy, Ady; Brown, Kyle A.; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan, Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen.
  136. Levy,Ady; Brown,Kyle A.; Nikoonahad,Mehrdad; Bultman,Gary; Wack,Dan; Fielden,John, Methods and systems for determining overlay and flatness of a specimen.
  137. Nasser Ghodsi,Mehran; Borowicz,Mark, Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis.
  138. Nasser-Ghodsi, Mehran; Borowicz, Mark; Bakker, Dave; Vaez-Iravani, Mehdi; Aji, Prashant; Garcia, Rudy; Chuang, Tzu Chin, Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis.
  139. Soltz,David; Nasser Ghodsi,Mehran; Winters,Harold; Coburn,John W.; Gubbens,Alexander; Toth,Gabor, Methods and systems for preparing a copper containing substrate for analysis.
  140. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  141. Lai,Ken Kaung; Rajagopalan,Ravi; Khandelwal,Amit; Moorthy,Madhu; Gandikota,Srinivas; Castro,Joseph; Gelatos,Averginos V.; Knepfler,Cheryl; Jian,Ping; Fang,Hongbin; Huang,Chao Ming; Xi,Ming; Yang,Michael X.; Chung,Hua; Byun,Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  142. Michael Abraham DE; Ivo J. M. M. Raaijmakers NL; Alain Gaudon FR; Pierre Astegno FR, Modular substrate measurement system.
  143. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, Multi-layer sputter deposition apparatus.
  144. Roger V. Heyder ; Thomas B. Brezocsky ; Robert E. Davenport, Multiple loadlock system.
  145. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  146. Kurita, Shinichi; Anwar, Suhail; Lee, Jae-Chull, Multiple slot load lock chamber and method of operation.
  147. Kurita, Shinichi; Anwar, Suhail; Lee, Jae-Chull, Multiple slot load lock chamber and method of operation.
  148. Sheydayi,Alexei, Non-contact shuttle valve for flow diversion in high pressure systems.
  149. Emmi Peter A. ; Park Byeongju, Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer.
  150. Siniaguine Oleg, Plasma processing methods and apparatus.
  151. Siniaguine,Oleg, Plasma processing methods and apparatus.
  152. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  153. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  154. Sheydayi,Alexei, Pressure energized pressure vessel opening and closing device and method of providing therefor.
  155. Stevens E. Henry, Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on an integrated circuit.
  156. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  157. Wuester,Christopher D., Process flow thermocouple.
  158. Reimer, Paul; Sabouri, Pedram; Smith, Dennis, Processing apparatus having integrated pumping system.
  159. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  160. Lu,Xinliang; Jian,Ping; Yoo,Jong Hyun; Lai,Ken Kaung; Mak,Alfred W.; Jackson,Robert L.; Xi,Ming, Pulsed nucleation deposition of tungsten layers.
  161. Wolfgang Volle DE, Reactor for the processing of wafers, with a protection device.
  162. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  163. Shunpei Yamazaki JP; Setsuo Nakajima JP; Ritsuko Kawasaki JP, Semiconductor device and a method of manufacturing the same.
  164. Yamazaki,Shunpei; Nakajima,Setsuo; Kawasaki,Ritsuko, Semiconductor device and a method of manufacturing the same.
  165. Yamazaki, Shunpei; Adachi, Hiroki; Kuwabara, Hideaki, Semiconductor device having semiconductor circuit comprising semiconductor element, and method for manufacturing same.
  166. Trivedi, Mayur; Padiyar, Sushil; Karuppiah, Lakshmanan; Thakur, Randhir, Semiconductor device processing tools and methods for patterning substrates.
  167. Yamazaki,Shunpei; Nakajima,Setsuo; Kawasaki,Ritsuko, Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same.
  168. Kitano, Naomu; Minami, Takashi; Kosuda, Motomu; Watanabe, Heiji, Semiconductor manufacturing apparatus and method.
  169. van der Meulen, Peter, Semiconductor manufacturing systems.
  170. Yamagishi, Takayuki; Suwada, Masaei; Watanabe, Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  171. Yamagishi,Takayuki; Suwada,Masaei; Watanabe,Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  172. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  173. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  174. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  175. van der Meulen, Peter; Kiley, Christopher C.; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling transport.
  176. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  177. Krupyshev, Alexander G.; Syssoev, Sergei E., Substrate alignment apparatus comprising a controller to measure alignment during transport.
  178. Gilchrist, Ulysses, Substrate loading and unloading station with buffer.
  179. Gilchrist, Ulysses; Beaulieu, David R.; Van der Meulen, Peter F., Substrate loading and unloading station with buffer.
  180. Gilchrist, Ulysses; Beaulieu, David R.; Van der Meulen, Peter F., Substrate loading and unloading station with buffer.
  181. Gilchrist, Ulysses; Beaulieu, David R.; Van Der Meulen, Peter, Substrate loading and uploading station with buffer.
  182. Gomi, Atsushi; Miyashita, Tetsuya; Furukawa, Shinji; Maeda, Koji; Hara, Masamichi; Suzuki, Naoyuki; Miki, Hiroshi; Hirata, Toshiharu, Substrate processing apparatus.
  183. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  184. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  185. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  186. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  187. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  188. Yasui, Takeshi; Hirochi, Yukitomo; Takano, Satoshi; Horii, Ritsuo; Kawabata, Makoto, Substrate processing apparatus and method of manufacturing semiconductor device.
  189. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  190. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  191. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  192. Hofmeister, Christopher; Hosek, Martin; Beale, Stuart, Substrate transport apparatus with automated alignment.
  193. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  194. Gale,Glenn; Hillman,Joseph T.; Jacobson,Gunilla; Palmer,Bentley, System and method for processing a substrate using supercritical carbon dioxide processing.
  195. Stevens, Craig L.; Jakubiec, Antoni F., System and method for processing semiconductor wafers including single-wafer load lock.
  196. Schuegraf, Klaus F., System for fabricating a bipolar transistor.
  197. Soltz,David; Wieczorek,Paul; Zuo,Aaron; Toth,Gabor, Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems.
  198. Tony R. Kroeker, Three chamber load lock apparatus.
  199. Aggarwal, Ravinder; Kusbel, James F., Transfer chamber with integral loadlock and staging station.
  200. Jacobson,Gunilla; Yellowaga,Deborah, Treatment of a dielectric layer using supercritical CO.
  201. Kevwitch, Robert, Treatment of substrate using functionalizing agent in supercritical carbon dioxide.
  202. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  203. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Tungsten nitride atomic layer deposition processes.
  204. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  205. Sheydayi,Alexei, Vacuum chuck utilizing sintered material and method of providing thereof.
  206. Dickinson, Colin John; Murphy, Daimhin Paul, Vacuum load lock, system including vacuum load lock, and associated methods.
  207. Ozawa,Jun; Hirose,Jun; Narushima,Masaki, Vacuum process system.
  208. Ozawa,Jun; Hirose,Jun; Narushima,Masaki, Vacuum process system.
  209. Nogi, Keita; Kondo, Hideaki; Tauchi, Susumu; Nakata, Teruo, Vacuum processing apparatus.
  210. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  211. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  212. Kim, Sam Hyungsam; Lee, Jae-Chull; Sterling, William N.; Brown, Paul, Valve door with ball coupling.
  213. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  214. Craig Stevens ; Tony Jakubiec, Wafer processing architecture including load locks.
  215. Craig Stevens ; Tony Jakubiec, Wafer processing architecture including single-wafer load lock with cooling unit.
  216. Ohashi, Tomohiro; Makino, Akitaka; Kitada, Hiroho; Kihara, Hideki, Wafer processing based on sensor detection and system learning.
  217. Kuribayashi, Hiromitsu; Yoo, Woo Sik, Wafer processing method.
  218. Hiromitsu Kuribayashi JP; Woo Sik Yoo, Wafer processing system.
  219. Kuribayashi, Hiromitsu; Yoo, Woo Sik, Wafer processing system including a robot.
  220. Ota,Kan; Asdigha,Mehran, Work piece transfer system for an ion beam implanter.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로