$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods of etching articles via microcontact printing 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B44C-001/22
출원번호 US-0677309 (1996-07-09)
발명자 / 주소
  • Whitesides George M.
  • Xia Younan
  • Wilbur James L.
  • Jackman Rebecca J.
  • Kim Enoch
  • Prentiss Mara G.
  • Mrksich Milan
  • Kumar Amit
  • Gorman Christopher B.
  • Biebuyck Hans,CHX
  • Berggren Karl K.
출원인 / 주소
  • President and fellows of Harvard College
대리인 / 주소
    Wolf, Greenfield & Sacks, P.C.
인용정보 피인용 횟수 : 209  인용 특허 : 31

초록

Improved methods of forming a patterned self-assembled monolayer on a surface and derivative articles are provided. According to one method, an elastomeric stamp is deformed during and/or prior to using the stamp to print a self-assembled molecular monolayer on a surface. According to another method

대표청구항

[ What is claimed is:] [1.] A method of etching an article having a surface, comprising:contacting a first, nonplanar portion of the surface with a stamp to transfer to the first, nonplanar portion a self-assembled monolayer of a molecular species in a first pattern, the self-assembled monolayer bei

이 특허에 인용된 특허 (31)

  1. Grey George E. (Victoria AUX), Article die forming method.
  2. Fujii Yohji (Yokohama JPX) Minowa Junichiro (Yokosuka JPX), Blazed diffraction grating structures and method of manufacturing the same.
  3. Akkapeddi Prasad R. (Norwalk CT) Hufnagel Robert E. (Ridgefield CT), Contact lithographic fabrication of patterns on large optics.
  4. Matsuda Takehisa (Minoo) Inoue Kazuhiko (Kobe) Tani Nobutaka (Osaka JPX), Control of cell arrangement.
  5. Stewart John V. (Watchung NJ), Engraver\s template.
  6. Lowenhar Herman L. (21 Lakeview Dr. West Orange NJ 07052) Hulland Burton L. (648 E. Broadway Long Beach NY 11561), Fiber optics system.
  7. Kuzuta Nobuyuki (Atsugi JPX) Hasegawa Eiichi (Atsugi JPX) Kimura Teiyu (Atsugi JPX), Flexible replica grating.
  8. Kumar Amit (Sacramento CA) Whitesides George M. (Newton MA), Formation of microstamped patterns on surfaces and derivative articles.
  9. Schnur Joel M. (6009 Lincolnwood Ct. Burke VA 22015) Schoen Paul E. (5006 Taney Ave. Alexandria VA 22304) Peckerar Martin C. (12917 Buccaneer Rd. Silver Spring MD 20904) Marrian Christie R. K. (6805 , High resolution patterning on solid substrates.
  10. Nicoli David F. (448 Mills Way Goleta CA 93117) Elings Virgil B. (1155 Via Tranquilla Santa Barbara CA 93110), Immunoassay using optical interference detection.
  11. Pirrung Michael C. (Durham NC) Read J. Leighton (Palo Alto CA) Fodor Stephen P. A. (Palo Alto CA) Stryer Lubert (Stanford CA), Large scale photolithographic solid phase synthesis of polypeptides and receptor binding screening thereof.
  12. Pollock Stephen C. (7206 Oak St. ; Apt. 1-SW River Forest IL 60305) Grisolano ; Jr. James M. (c/o Office of Medical Education ; St. Francis Hospital ; 355 Ridge Ave. Evanston IL 60202) Peyman Gholman, Lens system for variable refraction.
  13. Shigekawa Brian L. (Chapel Hill NC) Hsieh Yung-Ao (Cary NC), Ligand gold bonding.
  14. Nilsson Olle B. (Akersberga SEX) Vilhelmsson Kennet J. (Partille SEX), Method for ascertaining mode hopping free tuning of resonance frequency and the Q-value of an optical resonator and a de.
  15. Clark Noel A. (Boulder CO) Douglas Kenneth (Boulder CO) Rothschild Kenneth J. (Newton MA), Method for parallel fabrication of nanometer scale multi-device structures.
  16. Rossetti James J. (Palmyra WI), Method for shaping and finishing a workpiece.
  17. Kane James (Lawrenceville NJ), Method of fabricating lenticular arrays.
  18. Rossetti James J. (Palmyra WI), Method of making metal molds and dies.
  19. Kuwabara Kazuhiro (Hitachi JPX) Mori Yuji (Hitachi JPX) Mikami Yoshiro (Hitachi JPX), Method of manufacturing a thin-film pattern on a substrate.
  20. Kuribayashi Kiyoshi (Neyagawa JPX) Umetani Makoto (Hirakata JPX) Monji Hideto (Katano JPX) Aoki Masaki (Mino JPX), Method of manufacturing molds for molding optical glass elements and diffraction gratings.
  21. Malecki, George J., Methods and means of determining microorganism population.
  22. Allara David L. (Florham Park NJ) Nuzzo Ralph G. (Summit NJ), Modification of the properties of metals.
  23. Felten John J. (Newark DE), Non-photographic method for patterning organic polymer films.
  24. Ogawa Kazufumi (Hirakata JPX), Optical fiber and method of producing the same.
  25. Huggins Raymond W. (Mercer Island WA), Optical sensor using concave diffraction grating.
  26. Deckman Harry W. (Clinton NJ) Dunsmuir John H. (Annandale NJ), Procedure for fabrication of microstructures over large areas using physical replication.
  27. Napoli Louis S. (Hamilton Township ; Mercer County NJ) Russell John P. (Pennington NJ), Process for forming a lithographic mask.
  28. Lama William L. (Webster NY) Seachman Ned J. (Penfield NY) Bright Clark I. (Arcadia CA), Reflection diffraction grating having a controllable blaze angle.
  29. Clark Noel A. (Boulder CO) Douglas Kenneth (Boulder CO) Rothschild Kenneth J. (Newton MA), Self-assembled nanometer lithographic masks and templates and method for parallel fabrication of nanometer scale multi-d.
  30. Lemaitre, Gerard R., Semi-fixed astronomical mirrors and aspherical gratings and methods for manufacturing same by elastic flexion.
  31. Tangonan Gregory L. (Oxnard CA), Wavelength multiplexer/demultiplexer for optical circuits.

이 특허를 인용한 특허 (209)

  1. Rahul Singhvi ; Amit Kumar ; George M. Whitesides ; Donald E. Ingber ; Gabriel P. Lopez ; Daniel I. C. Wang ; Gregory N. Stephanopoulos, Adhering cells to cytophilic islands separated by cytophobic regions to form patterns and manipulate cells.
  2. Sreenivasan, Sidlgata V; Watts, Michael P. C.; Choi, Byung J.; Voisin, Ronald D., Alignment methods for imprint lithography.
  3. Caron, James Jeffrey, Apertured media embellishing template and system and method using same.
  4. Caron,James Jeffery; Dywan,J. Michael, Apertured media embellishing template and system and method using same.
  5. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Assays for monitoring cell motility in real-time.
  6. Tian, Bozhi; Xie, Ping; Kempa, Thomas J.; Lieber, Charles M.; Cohen-Karni, Itzhaq; Qing, Quan; Duan, Xiaojie, Bent nanowires and related probing of species.
  7. Kirk,Gregory L.; Ostuni,Emanuele; Kim,Enoch; Schueller,Olivier; Sweetnam,Paul, Biological assays using gradients formed in microfluidic systems.
  8. Lieber, Charles M.; Tian, Bozhi; Jiang, Xiaocheng, Branched nanoscale wires.
  9. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Watts,Michael P. C., Capillary imprinting technique.
  10. Choi,Byung J.; Voisin,Ronald D.; Sreenivasan,Sidlgata V.; Watts,Michael P. C.; Babbs,Daniel; Meissl,Mario J.; Bailey,Hillman; Schumaker,Norman E., Chucking system for modulating shapes of substrates.
  11. Amro, Nabil A.; Disawal, Sandeep, Compact instrument with exchangeable modules for multiple microfabrication and/or nanofabrication methods.
  12. Xu,Frank Y.; Miller,Michael N.; Watts,Michael P. C., Composition for an etching mask comprising a silicon-containing material.
  13. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F.; Kazlas, Peter T., Composition including material, methods of depositing material, articles including same and systems for depositing material.
  14. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F., Composition including material, methods of depositing material, articles including same and systems for depositing materials.
  15. Sreenivasan,Sidlgata V; Choi,Byung J.; Voisin,Ronald D., Conforming template for patterning liquids disposed on substrates.
  16. Hubert, Brian; Bulthaup, Colin; Gudeman, Chris; Spindt, Chris; Haubrich, Scott; Takashima, Mao; Rockenberger, Joerg; Kunze, Klaus; Zurcher, Fabio, Contact print methods.
  17. Krivokapic, Zoran, Copper interconnect stamping.
  18. Kim,Enoch; Cruceta,Johanna R., Device and method for monitoring leukocyte migration.
  19. Singhvi, Rahul; Kumar, Amit; Whitesides, George M.; Ingber, Donald E.; Lopez, Gabriel P.; Wang, Daniel I. C.; Stephanopoulos, Gregory, Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  20. Singhvi,Rahul; Kumar,Amit; Whitesides,George M.; Ingber,Donald E.; Lopez,Gabriel P.; Wang,Daniel I. C.; Stephanopoulos,Gregory N., Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  21. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Device for arraying biomolecules and for monitoring cell motility in real-time.
  22. Kim,Enoch; Kirk,Gregory L.; Schueller,Olivier; Ostuni,Emanuele, Device for monitoring cell motility in real-time.
  23. Shuler, Michael; Baxter, Gregory T.; Sin, Aaron; Harrison, Robert Andrew; Meyers, Scott, Devices and methods for pharmacokinetic-based cell culture system.
  24. Shuler,Michael; Baxter,Gregory T.; Sin,Aaron; Harrison,Robert Andrew; Meyers,Scott, Devices and methods for pharmacokinetic-based cell culture system.
  25. Lieber,Charles M.; Cui,Yi; Duan,Xiangfeng; Huang,Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors and fabricating such devices.
  26. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  27. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  28. Lieber, Charles M.; Cui, Yi; Duan, Xiangfeng; Huang, Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  29. Lieber,Charles M.; Cui,Yi; Duan,Xiangfeng; Huang,Yu, Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices.
  30. Herman, Gregory S.; Peterson, Darin; Manning, Martin Joseph, Electronic device fabrication.
  31. Sreenivasan,Sidlgata V., Eliminating printability of sub-resolution defects in imprint lithography.
  32. Cohen, Itai; Nagel, Sidney; Rilo, Horacio; Mrksich, Milan, Encapsulating particles with coatings that conform to size and shape of the particles.
  33. Maloney,John M.; Sbiaa,Zouhair; Santini, Jr.,John T.; Sheppard, Jr.,Norman F.; Uhland,Scott A., Fabrication methods and structures for micro-reservoir devices.
  34. Jacobson, Joseph M.; Bulthaup, Colin A.; Wilhelm, Eric J.; Hubert, Brian N., Fabrication of finely featured devices by liquid embossing.
  35. Santini ; Jr. John T. ; Cima Michael J. ; Langer Robert S., Fabrication of microchip drug delivery devices.
  36. Choi, Byung J.; Sreenivasan, Sidlgata V., Flexure based macro motion translation stage.
  37. Extrand, Charles W., Fluid handling component with ultraphobic surfaces.
  38. Lee, T. Randall; Graupe, Michael, Fluorinated hydrogn bond stabilized surface modifying agents, articles made therefrom, methods for making and using the same.
  39. Choi, Byung Jin; Meissl, Mario J.; Sreenivasan, Sidlagata V.; Watts, Michael P. C., Formation of discontinuous films during an imprint lithography process.
  40. Choi,Byung Jin; Meissl,Mario J.; Sreenivasan,Sidlagata V.; Watts,Michael P. C., Formation of discontinuous films during an imprint lithography process.
  41. Extrand, Charles W., Fuel cell with ultraphobic surfaces.
  42. Watts, Michael P. C., Functional patterning material for imprint lithography processes.
  43. Gorman, Christopher B.; Feldheim, Daniel L.; Fuierer, Ryan R., Gradient fabrication to direct transport on a surface.
  44. Gorman, Christopher B.; Feldheim, Daniel L.; Fuierer, Ryan R., Gradient fabrication to direct transport on a surface.
  45. Gorman, Christopher B.; Feldheim, Daniel L.; Fuierer, Ryan R., Gradient fabrication to direct transport on a surface.
  46. Uhland,Scott A.; Polito,Benjamin F.; Herman,Stephen J.; Santini, Jr.,John T.; Maloney,John M., Hermetically sealed microchip reservoir devices.
  47. Bellman, Robert A.; Ukrainczyk, Ljerka, High aspect ratio patterning of glass film.
  48. Robert A. Bellman ; Ljerka Ukrainczyk, High aspect ratio patterning of glass film.
  49. Choi, Byung Jin; Sreenivasan, Sidlgata V.; Johnson, Stephen C., High precision orientation alignment and gap control stages for imprint lithography processes.
  50. Bao, Zhenan; Makhjita, Anita; Rogers, John A., High-resolution method for patterning a substrate with micro-printing.
  51. Sreenivasan, Sidlgata V.; Choi, Byung J.; Colburn, Matthew; Bailey, Todd, High-resolution overlay alignment methods for imprint lithography.
  52. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  53. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  54. Sreenivasan,Sidlgata V.; Schumaker,Philip D., Imprint lithography template having opaque alignment marks.
  55. Sreenivasan, Sidlgata V.; Choi, Byung-Jin, Imprinting of partial fields at the edge of the wafer.
  56. Wikswo, John P.; Samson, Philip C.; Block, III, Frank Emmanuel; Reiserer, Ronald S.; Parker, Kevin Kit; McLean, John A.; McCawley, Lisa Joy; Markov, Dmitry; Levner, Daniel; Ingber, Donald E.; Hamilton, Geraldine A.; Goss, Josue A.; Cunningham, Robert; Cliffel, David E.; McKenzie, Jennifer Robin; Bahinski, Anthony; Hinojosa, Christopher David, Integrated human organ-on-chip microphysiological systems.
  57. Haubrich, Scott; Kunze, Klaus; Dunphy, James C; Gudeman, Chris; Rockenberger, Joerg; Zurcher, Fabio; Sleiman, Nassrin; Takashima, Mao; Spindt, Chris, Interface layer for the fabrication of electronic devices.
  58. Haubrich,Scott; Kunze,Klaus; Dunphy,James C.; Gudeman,Chris; Rockenberger,Joerg; Zurcher,Fabio; Sleiman,Nassrin; Takashima,Mao; Spindt,Chris, Interface layer for the fabrication of electronic devices.
  59. Whitesides, George M.; Kumar, Amit, Kit For Microcontact Printing.
  60. Street,Robert A.; Wong,William S.; Salleo,Alberto; Chabinyc,Michael L., Large area electronic device with high and low resolution patterned film features.
  61. Coe-Sullivan, Seth; Steckel, Jonathan S.; Kim, LeeAnn; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  62. Bietsch, Alexander; Delamarche, Emmanuel; Michel, Bruno; Schmid, Heinz, Liquid crystal display.
  63. Nimmakayala,Pawan K.; Sreenivasan,Sidlgata V.; Choi,Byung Jin; Cherala,Anshuman, Magnification correction employing out-of-plane distortion of a substrate.
  64. Ozkan,Mihrimah; Esener,Sadik; Wang,Mark, Manipulation of live cells and inorganic objects with optical micro beam arrays.
  65. Rudmann, Hartmut; Maciossek, Andreas; Rossi, Markus, Manufacturing a replication tool, sub-master or replica.
  66. Xu, Frank Y.; Watts, Michael P. C.; Stacey, Nicholas A., Materials for imprint lithography.
  67. Santini, Jr.,John T.; Sheppard, Jr.,Norman F., Medical device and method for diagnostic sensing.
  68. Santini, Jr.,John T.; Cima,Michael J.; Langer,Robert S., Medical device with array of electrode-containing reservoirs.
  69. Masters, Brett P., Method and apparatus for analyzing bioprocess fluids.
  70. Masters, Brett P.; Miller, Michael; Gulati, Vishal K.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for detecting estradiol and metabolites thereof using an acoustic device.
  71. Miller,Michael F.; Masters,Brett P.; Lundstrom,Mark E., Method and apparatus for detection of analyte using a flexural plate wave device and magnetic particles.
  72. Srivastava, Alok; Wang, Wayne U.; Miller, Michael; Masters, Brett P.; Lundstrom, Mark, Method and apparatus for detection of analyte using an acoustic device.
  73. Law,Kam S.; Bachrach,Robert Z.; White,John M.; Shang,Quanyuan, Method and apparatus for metallization of large area substrates.
  74. Miller, Michael; Masters, Brett P.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for therapeutic drug monitoring using an acoustic device.
  75. Schneider, Ronald Maarten; Dona, Marinus Josephus Jakobus; Decre, Michel Marcel Jose, Method and device for transferring a pattern from a stamp to a substrate.
  76. Choi, Byung-Jin; Sreenivasan, Sidlgata V., Method and system for double-sided patterning of substrates.
  77. Kim, Enoch; Kirk, Gregory L.; Schueller, Olivier; Ostuni, Emanuele, Method for arraying biomolecules and for monitoring cell motility in real-time.
  78. Watts,Michael P. C.; Sreenivasan,Sidlgata V., Method for fabricating bulbous-shaped vias.
  79. Willson, Carlton Grant; Sreenivasan, Sidlgata V.; Bonnecaze, Roger T., Method for fabricating nanoscale patterns in light curable compositions using an electric field.
  80. Lee, Hong Hie; Khang, Dahl Young, Method for forming a micro-pattern on a substrate.
  81. Baek, Myoung-Kee; Park, Kwon-Shik, Method for forming pattern using printing process.
  82. Morii, Katsuyuki; Takiguchi, Hiroshi, Method for forming thin film and method for forming electronic device.
  83. Viovy, Jean-Louis; Weber, Jeremie; Paul, Debjani; Malaquin, Laurent; Miserere, Sandrine, Method for improving the bonding properties of microstructured substrates, and devices prepared with this method.
  84. Alexander Pechenik, Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate.
  85. Miyake, Hideyuki; Hattori, Hideshi; Takahashi, Yoichi, Method for manufacturing cell culture substrate.
  86. Choi, Byung J.; Voisin, Ronald D.; Sreenivasan, Sidlgata V.; Watts, Michael P. C.; Willson, C. Grant; Schumaker, Norman E.; Meissl, Mario J., Method for modulating shapes of substrates.
  87. Bernd K. Appelt ; Gary A. Johansson ; Gerald W. Jones ; Luis J. Matienzo ; Yenloan H. Nguyen ; Konstantinos I. Papathomas, Method for preventing adhesive bleed onto surfaces.
  88. Chou, Lin-En; Tsai, Chia-Hao; Wang, Wen-Tung, Method for producing a thin film transistor.
  89. Hattori, Hideshi; Miyake, Hideyuki; Kobayashi, Hironori, Method for producing cell culture substrate and apparatus for producing cell culture substrate.
  90. Wang, Mark M.; Tu, Eugene; O'Connell, James P.; Lykstad, Kristie L.; Butler, William F., Method for separation of particles.
  91. Wang, Mark M.; Tu, Eugene; O'Connell, James P.; Lykstad, Kristie L.; Butler, William F., Method for sorting particles.
  92. Choi, Byung-Jin; Sreenivasan, Sidlgata V.; Willson, Carlton Grant; Colburn, Mattherw E.; Bailey, Todd C.; Ekerdt, John G., Method of automatic fluid dispensing for imprint lithography processes.
  93. Sreenivasan, Sidlgata V.; McMackin, Ian M.; Melliar-Smith, Christopher Mark; Choi, Byung-Jin, Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks.
  94. Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S.; Göpferich, Achim, Method of controlled drug delivery from implant device.
  95. Breen, Tricia L.; Fryer, Peter M.; Wisnieff, Robert L.; Flake, John Christopher, Method of forming patterned nickel and doped nickel films via microcontact printing and uses thereof.
  96. Sreenivasan,Sidlgata V., Method of forming stepped structures employing imprint lithography.
  97. Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making high performance optical edge and notch filters and resulting products.
  98. Clarke,Glenn; Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making highly discriminating optical edge filters and resulting products.
  99. Chow, Eugene M.; Wong, William S.; Chabinyc, Michael; Arias, Ana Claudia, Method of manufacturing fine features for thin film transistors.
  100. Schueller,Olivier; Kim,Enoch; Whitesides,George, Method of patterning a surface using a deformable stamp.
  101. Rubin, Daniel I., Method of reducing pattern distortions during imprint lithography processes.
  102. Wang, Mark M.; Tu, Eugene; O'Connell, James P.; Lykstad, Kristie L.; Butler, William F., Method of separating particles using an optical gradient.
  103. Sreenivasan, Sidlgata V.; Watts, Michael P. C., Method to arrange features on a substrate to replicate features having minimal dimensional variability.
  104. Choi,Byung Jin; Xu,Frank Y.; Stacey,Nicholas A.; Truskett,Van Xuan Hong; Watts,Michael P. C., Method to reduce adhesion between a conformable region and a pattern of a mold.
  105. Truskett,Van N.; Mackay,Christopher J.; Choi,B. Jin, Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer.
  106. Chow, Eugene M.; Wong, William S.; Chabinyc, Michael; Lu, Jeng Ping; Arias, Ana Claudia, Method using monolayer etch masks in combination with printed masks.
  107. Sauer Budge, Alexis F.; Fitch, Eric; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting bacteria using an acoustic device.
  108. Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting cardiac injury markers using an acoustic device.
  109. Sauer Budge, Alexis F.; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting viruses using an acoustic device.
  110. Butler, William Frank; Chachisvilis, Mirianas; Dees, Robert; Hagen, Norbert; Marchand, Philippe; Raymond, Daniel E.; Tu, Eugene; Wang, Mark M.; Yang, Joon Mo; Yang, Rong; Zhang, Haichuan, Methods and apparatus for sorting cells using an optical switch in a microfluidic channel network.
  111. Butler, William Frank; Chachisvilis, Mirianas; Dees, Robert; Hagen, Norbert; Marchand, Philippe; Raymond, Daniel E.; Tu, Eugene; Wang, Mark M.; Yang, Joon Mo; Yang, Rong; Zhang, Haichuan, Methods and apparatus for sorting cells using an optical switch in a microfluidic channel network.
  112. Colen, Chaim BenJoseph, Methods and apparatus for the detection and differentiation of non-sialated proteins from sialated proteins in a fluid sample.
  113. Coe-Sullivan, Seth; Kymissis, Joannis, Methods and articles including nanomaterial.
  114. Shawgo, Rebecca S.; Sheppard, Jr., Norman F.; Cima, Michael J.; Santini, Jr., John T.; Herman, Stephen J.; Polito, Benjamin F.; Uhland, Scott A., Methods and devices for sealing microchip reservoir devices.
  115. Freer, Erik; Hamilton, James M.; Stumbo, David P.; Komiya, Kenji; Shibata, Akihide, Methods and systems for electric field deposition of nanowires and other devices.
  116. Xu,Frank Y.; Stacey,Nicholas E.; Watts,Michael P. C.; Thompson,Ecron D., Methods for fabricating patterned features utilizing imprint lithography.
  117. Choi, Byung J.; Colburn, Matthew; Sreenivasan, S. V.; Willson, C. Grant; Bailey, Todd; Ekerdt, John, Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography.
  118. Martin, Samuel; Duan, Xiangfeng; Fujii, Katsumasa; Hamilton, James M.; Iwata, Hiroshi; Leon, Francisco; Miller, Jeffrey; Negishi, Tetsu; Ohki, Hiroshi; Parce, J. Wallace; Pereira, Cheri X. Y.; Schuele, Paul John; Shibata, Akihide; Stumbo, David P.; Okada, Yasunobu, Methods for nanowire alignment and deposition.
  119. Martin, Samuel; Duan, Xiangfeng; Fujii, Katsumasa; Hamilton, James M.; Iwata, Hiroshi; Leon, Francisco; Miller, Jeffrey; Negishi, Tetsu; Ohki, Hiroshi; Parce, J. Wallace; Pereira, Cheri X. Y.; Schuele, Paul John; Shibata, Akihide; Stumbo, David P.; Okada, Yasunobu, Methods for nanowire alignment and deposition.
  120. Bulthaup, Colin; Spindt, Chris, Methods for patterning using liquid embossing.
  121. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina, Methods for processing biological materials using peelable and resealable devices.
  122. Jiang, Xingyu; Ferrigno, Rosaria; Whitesides, George M., Methods of alteration of surface affinities using non-chemical force-creating fields.
  123. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina, Methods of arraying biological materials using peelable and resealable devices.
  124. Duffy, David; Kirk, Gregory L.; Campbell, Stewart; Schueller, Olivier; Agosto, Melina, Methods of detecting immobilized biomolecules.
  125. Whitesides, George M.; Xia, Younan; Wilbur, James L.; Jackman, Rebecca J.; Kim, Enoch; Prentiss, Mara G.; Mrksich, Milan; Kumar, Amit; Gorman, Christopher B.; Biebuyck, Hans; Berggren, Karl K., Methods of etching articles via microcontact printing.
  126. Kim, Changsoon; Forrest, Stephen R., Methods of fabricating devices by low pressure cold welding.
  127. Voisin,Ronald D., Methods of inspecting a lithography template.
  128. Voisin,Ronald D., Methods of manufacturing a lithography template.
  129. Duffy, David; Kirk, Gregory L.; Campbell, Stewart; Schueller, Olivier; Agosto, Melina, Methods of measuring enzyme activity using peelable and resealable devices.
  130. Frey, Matthew H.; Berniard, Tracie J.; Boehmer, Roxanne A., Methods of patterning a deposit metal on a substrate.
  131. Frey, Matthew H.; Nguyen, Khanh P., Methods of patterning a material on polymeric substrates.
  132. Wang, Mark M.; Tu, Eugene; O'Connell, James P.; Lykstad, Kristie L.; Butler, William F., Methods of separating particles using an optical gradient.
  133. Richards, Amy C.; Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S., Microchip devices for delivery of molecules and methods of fabrication thereof.
  134. Santini, Jr.,John T.; Cima,Michael J.; Langer,Robert S., Microchip drug delivery devices.
  135. Kendale, Amar Maruti; Trumper, David L., Microcontact printing.
  136. Kendale,Amar Maruti; Trumper,David L., Microcontact printing.
  137. Santini, Jr., John T.; Sheppard, Jr., Norman F.; Young, Chung Chang; Langer, Robert S., Microfabricated devices for the storage and selective exposure of chemicals and devices.
  138. Santini, Jr., John T.; Sheppard, Jr., Norman F.; Young, Chung Chang; Langer, Robert S., Microfabricated devices for the storage and selective exposure of chemicals and devices.
  139. Ingber, Donald E.; Levner, Daniel; Thompson, II, Guy; Hinojosa, Christopher David, Microfluidic cartridge assembly.
  140. Extrand, Charles W.; Wright, Michael, Microfluidic device with ultraphobic surfaces.
  141. Wang,Mark; Ata,Erhan Polatkan; Esener,Sadik C., Microfluidic sorting device.
  142. Wu,Ming Hsien; Paul,Kateri E.; Whitesides,George M., Microlens for projection lithography and method of preparation thereof.
  143. Wu,Ming Hsien; Whitesides,George M.; Paul,Kateri E., Microlens for projection lithography and method of preparation thereof.
  144. Ashutosh Chilkoti ; Zhongping Yang, Microstamping activated polymer surfaces.
  145. Chilkoti,Ashutosh; Yang,Zhongping; Hyun,Jinho, Microstamping activated polymer surfaces.
  146. Bamdad, Cynthia C.; Sigal, George B.; Strominger, Jack L.; Whitesides, George M., Molecular recognition at surfaces derivatized with self-assembled monolayers.
  147. Hammond Cunningham,Paula T.; Park,Juhyun, Multilayer transfer patterning using polymer-on-polymer stamping.
  148. Kim, Enoch; Duffy, David, Multiple array system for integrating bioarrays.
  149. Cavallini,Massimiliano; Biscarini,Fabio, Nanoprinting method.
  150. Lieber,Charles M.; Duan,Xiangfeng; Huang,Yu; Agarwal,Ritesh, Nanoscale coherent optical components.
  151. Lieber, Charles M.; Patolsky, Fernando; Zheng, Gengfeng, Nanoscale sensors.
  152. Lieber, Charles M.; Wu, Yue; Yan, Hao, Nanoscale wire-based data storage.
  153. Lieber,Charles M.; Duan,Xiangfeng; Cui,Yi; Huang,Yu; Gudiksen,Mark; Lauhon,Lincoln J.; Wang,Jianfang; Park,Hongkun; Wei,Qingqiao; Liang,Wenjie; Smith,David C.; Wang,Deli; Zhong,Zhaohui, Nanoscale wires and related devices.
  154. Lieber, Charles M.; Park, Hongkun; Wei, Qingqiao; Cui, Yi; Liang, Wenjie, Nanosensors.
  155. Lieber, Charles M.; Park, Hongkun; Wei, Qingqiao; Cui, Yi; Liang, Wenjie, Nanosensors.
  156. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenji, Nanosensors.
  157. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenjie, Nanosensors.
  158. Lieber,Charles M.; Park,Hongkun; Wei,Qingqiao; Cui,Yi; Liang,Wenjie, Nanosensors.
  159. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  160. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  161. Lu, Wei; Xiang, Jie; Wu, Yue; Timko, Brian P.; Yan, Hao; Lieber, Charles M., Nanowire heterostructures.
  162. Wang, Mark; Ata, Erhan Polatkon; Esener, Sadik C., Optical switching and sorting of biological samples and microparticles transported in a micro-fluidic device, including integrated bio-chip devices.
  163. Palanker, Daniel V.; Fishman, Harvey A., Optically controlled microfluidic chip.
  164. Ingber, Donald E.; Huh, Dongeun, Organ mimic device with microchannels and methods of use and manufacturing thereof.
  165. Sreenivasan, Sidlgata V.; Schumaker, Philip D., Patterning a plurality of fields on a substrate to compensate for differing evaporation times.
  166. Kagan, Cherie R.; Breen, Tricia Lynn; Kosbar, Laura Louise, Patterning solution deposited thin films with self-assembled monolayers.
  167. Kagan,Cherie R; Carmichael,Tricia Breen; Kosbar,Laura Louise, Patterning solution deposited thin films with self-assembled monolayers.
  168. Choi, Byung-Jin; Sreenivasan, Sidlgata V., Patterning substrates employing multiple chucks.
  169. Duffy,David; Kirk,Gregory; Campbell,Stewart; Schueller,Olivier; Agosto,Melina; Kim,Enoch, Peelable and resealable devices for arraying materials.
  170. Duffy,David; Kirk,Gregory L.; Campbell,Stewart; Schueller,Olivier; Agosto,Melina Amber; Kim,Enoch, Peelable and resealable devices for biochemical assays.
  171. Sreenivasan,Sidlgata V., Positive tone bi-layer imprint lithography method.
  172. Bietsch, Alexander; Delamarche, Emmanuel; Michel, Bruno; Schmid, Heinz; Wolf, Heiko, Printing in a medium.
  173. Novak, Richard; Conegliano, David; Teixeira, Liliana; Ingber, Donald E., Radial microfluidic devices and methods of use.
  174. Lee, Jeong-Bong; Manohara, Harish; Kim, Kabseog; Park, Sang-Won, Reproduction of micromold inserts.
  175. Crawley,Ed; Lundstrom,Mark; Masters,Brett; Srivastava,Alok; Schmidt,Martin; Miller,Michael, Resonant sensor and sensing system.
  176. Arenas, Jaime E.; Choi, Hyun-Goo; Dickerson, William Matthew; Hembree, Sarah Beth; Madison, Lara Louise; Masters, Brett P.; Miller, Michael F.; Wang, Wayne U., Reusable detection surfaces and methods of using same.
  177. Ku Amy, Reverse current gold etch.
  178. Ostuni,Emanuele; Chen,Christopher S.; Ingber,Donald E.; Whitesides,George M., Selective deposition of materials on countoured surfaces.
  179. Schmid, Gerard M.; Stacey, Nicholas A; Resnick, Douglas J.; Voisin, Ronald D.; Myron, Lawrence J., Self-aligned process for fabricating imprint templates containing variously etched features.
  180. Gracias, David H.; Tien, Joe; Whitesides, George M., Self-assembled electrical networks.
  181. Gracias,David H.; Tien,Joe; Whitesides,George M., Self-assembled electrical networks.
  182. McMackin,Ian M.; Stacey,Nicholas A.; Babbs,Daniel A.; Voth,Duane J.; Watts,Michael P. C.; Truskett,Van N.; Xu,Frank Y.; Voisin,Ronald D.; Lad,Pankaj B., Single phase fluid imprint lithography method.
  183. Xu, Frank Y.; Khusnatdinov, Niyaz, Single phase fluid imprint lithography method.
  184. Blees,Martin Hillebrand, Stamp for use in a lithographic process, method of manufacturing a stamp, and method of manufacturing a patterned layer on a substrate.
  185. Bietsch, Alexander; Delamarche, Emmanuel; Michel, Bruno; Schmid, Heinz; Wolf, Heiko, Stamp with drainage channels for transferring a pattern in the presence of a third medium.
  186. Bietsch, Alexander; Delamarche, Emmanuel; Michel, Bruno; Schmid, Heinz; Wolf, Heiko, Stamp with permeable hydrophylic matrix.
  187. Hasegawa,Mitsuru; Miyauchi,Akihiro, Stamper, lithographic method of using the stamper and method of forming a structure by a lithographic pattern.
  188. Santini, Jr.,John T.; Hutchinson,Charles E., Stent for controlled release of drug.
  189. Willson, Carlton Grant; Colburn, Matthew Earl, Step and flash imprint lithography.
  190. Sreenivasan, Sidlgata V.; Choi, Byung J.; Schumaker, Norman E.; Voisin, Ronald D.; Watts, Michael P. C.; Meissl, Mario J., Step and repeat imprint lithography processes.
  191. Sreenivasan,Sidlgata V.; Choi,Byung J.; Schumaker,Norman E.; Voisin,Ronald D.; Watts,Michael P. C.; Meissl,Mario J., Step and repeat imprint lithography processes.
  192. Appelt Bernd K. ; Johansson Gary A. ; Jones Gerald W. ; Matienzo Luis J. ; Nguyen Yenloan H. ; Papathomas Konstantinos I., Structure for preventing adhesive bleed onto surfaces.
  193. Hattori, Hideshi; Kuroda, Masatoshi, Substrate for cell transfer.
  194. Schueller,Olivier J. A.; Kendale,Amar, System and process for automated microcontact printing.
  195. Choi,Byung J.; Sreenivasan,Sidlgata V., System for determining characteristics of substrates employing fluid geometries.
  196. Kim,Enoch; Kirk,Gregory L.; Schueller,Olivier; Ostuni,Emanuele, System for monitoring cell motility in real-time.
  197. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Meissl,Mario J., Systems for magnification and distortion correction for imprint lithography processes.
  198. GanapathiSubramanian, Mahadevan; Choi, Byung-Jin; Miller, Michael N.; Stacey, Nicholas A., Technique for separating a mold from solidified imprinting material.
  199. Bailey, Todd; Choi, Byung J.; Colburn, Matthew; Sreenivasan, S. V.; Willson, C. Grant; Ekerdt, John, Template for room temperature, low pressure micro-and nano-imprint lithography.
  200. Selinidis, Kosta S.; Choi, Byung-Jin; Schmid, Gerard M.; Thompson, Ecron D.; McMackin, Ian Matthew, Template having alignment marks formed of contrast material.
  201. Sreenivasan, Sidlgata V.; Schumaker, Philip D.; McMackin, Ian M., Tessellated patterns in imprint lithography.
  202. Santini, Jr., John T.; Cima, Michael J.; Uhland, Scott Albert, Thermally-activated microchip chemical delivery devices.
  203. Santini, Jr., John T.; Cima, Michael J.; Uhland, Scott Albert, Thermally-activated microchip chemical delivery devices.
  204. Santini, Jr.,John T.; Cima,Michael J.; Uhland,Scott Albert, Thermally-activated reservoir devices.
  205. Forrest, Stephen R.; Kim, Changsoon, Transfer of patterned metal by cold-welding.
  206. Extrand, Charles W., Tray carrier with ultraphobic surfaces.
  207. Extrand, Charles W., Ultraphobic surface for high pressure liquids.
  208. Breen, Tricia L.; Kosbar, Laura L.; Mastro, Michael P.; Nunes, Ronald W., Vapor phase surface modification of composite substrates to form a molecularly thin release layer.
  209. Extrand, Charles W., Wafer carrier with ultraphobic surfaces.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로