$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-027/00
  • H01L-029/227
출원번호 US-0041434 (1998-03-12)
발명자 / 주소
  • Lee Woo-Hyeong
  • Manchanda Lalita
출원인 / 주소
  • Lucent Technologies Inc.
대리인 / 주소
    Botos
인용정보 피인용 횟수 : 339  인용 특허 : 15

초록

A doped, metal oxide dielectric material and electronic components made with this material are disclosed. The metal oxide is a Group III or Group VB metal oxide (e.g. Al.sub.2 O.sub.3, Y.sub.2 O.sub.3, Ta.sub.2 O.sub.5 or V.sub.2 O.sub.5) and the metal dopant is a Group IV material (Zr, Si, Ti, and

대표청구항

[ What is claimed is:] [1.] An electronic component comprising a dielectric material, wherein the dielectric material is a metal oxide of a group III metal or group VB metal that is doped with at least one group IV element, wherein the Groups are from groups of the Mendeleef Periodic Table, and wher

이 특허에 인용된 특허 (15)

  1. Jones ; Jr. Robert Edwin (Austin TX) Maniar Papu D. (Austin TX) Campbell Andrew C. (Austin TX) Moazzami Reza (Austin TX), Capacitor having a metal-oxide dielectric.
  2. Gill Manzur (Rosharon TX), Cross-point contact-free array with a high-density floating-gate structure.
  3. Wolfe Jesse D. (San Ramon CA) Belkind Abraham I. (North Planfield NJ) Laird Ronald E. (Benecia CA), Durable low-emissivity solar control thin film coating.
  4. Douglas Monte A. (Coppell TX) Summerfelt Scott R. (Dallas TX), Electrodes for high dielectric constant materials.
  5. Lancaster Loren T. (Colorado Springs CO) Hirose Ryan T. (Colorado Springs CO), Flash memory system, and methods of constructing and utilizing same.
  6. Cavins Craig Allan ; Tseng Hsing-Huang ; Chang Ko-Min, Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same.
  7. Murakami Yoshiteru (Nishinomiya JPX) Nakayama Junichiro (Nara JPX) Hiramatsu Ichiro (Nara JPX) Takahashi Akira (Nara JPX) Ohta Kenji (Nara JPX) Van Kazuo (Nara JPX) Katayama Hiroyuki (Nara JPX), Magneto-optic memory device.
  8. Chang Ming-Hsung (Hsin-Chu TWX) Wang J. W. (Chai-Chi TWX), Method for forming a metal oxide semiconductor field effect transistor (MOSFET) having improved hot carrier immunity.
  9. Weiner Kurt H. (San Jose CA), Method for shallow junction formation.
  10. Jones ; Jr. Robert E. (Austin TX) Maniar Papu D. (Austin TX), Method of forming a nonvolatile random access memory capacitor cell having a metal-oxide dielectric.
  11. Hong Gary (Hsinchu TWX) Chen Hwi-Huang (Taipei TWX) Sheu Yau-Kae (Hsinchu TWX), Process for fabricating split gate flash EEPROM memory.
  12. Jones ; Jr. Robert E. (Austin TX) Maniar Papu D. (Austin TX) Campbell Andrew C. (Austin TX) Moazzami Reza (Austin TX), Process for forming a capacitor having a metal-oxide dielectric.
  13. Shimoji Noriyuki (Kyoto JPX), Semiconductor device including nonvolatile memories.
  14. Yang Ming-Tzong (Hsin Chu TWX), Single bit erase flash EEPROM.
  15. Mukherjee Satyen (San Jose CA) Chang Thomas (Santa Clara CA), Single transistor electrically programmable memory device and method.

이 특허를 인용한 특허 (339)

  1. Chou, Jung Chuan; Chiang, Jung Lung, A-WO3-gate ISFET devices and method of making the same.
  2. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  3. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  4. Jallepally, Ravi; Li, Shih-Hung; Duboust, Alain; Zhao, Jun; Chen, Liang-Yuh; Carl, Daniel A., Apparatus and method for fast-cycle atomic layer deposition.
  5. Chen, Ling; Ku, Vincent W.; Chung, Hua; Marcadal, Christophe; Ganguli, Seshadri; Lin, Jenny; Wu, Dien Yeh; Ouye, Alan; Chang, Mei, Apparatus and method for generating a chemical precursor.
  6. Chen,Ling; Ku,Vincent W.; Chang,Mei; Wu,Dien Yeh; Chung,Hua, Apparatus and method for hybrid chemical processing.
  7. Chen,Ling; Ku,Vincent W.; Chang,Mei; Wu,Dien Yeh; Chung,Hua, Apparatus and method for hybrid chemical processing.
  8. Chen, Chen-An; Gelatos, Avgerinos; Yang, Michael X.; Xi, Ming; Hytros, Mark M., Apparatus and method for plasma assisted deposition.
  9. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  10. Kim, Sam H.; Hosokawa, Akihiro; Suh, Dong Choon, Apparatus and method for uniform substrate heating and contaminate collection.
  11. Lei, Lawrence C., Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition.
  12. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  13. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  14. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  15. Thakur, Randhir P. S.; Mak, Alfred W.; Xi, Ming; Glenn, Walter Benjamin; Khan, Ahmad A.; Al-Shaikh, Ayad A.; Gelatos, Avgerinos V.; Umotoy, Salvador P., Apparatus for cyclical depositing of thin films.
  16. Thakur,Randhir P. S.; Mak,Alfred W.; Xi,Ming; Glenn,Walter Benjamin; Khan,Ahmad A.; Al Shaikh,Ayad A.; Gelatos,Avgerinos V.; Umotoy,Salvador P., Apparatus for cyclical deposition of thin films.
  17. Chen, Ling; Ku, Vincent W.; Chang, Mei; Wu, Dien Yeh; Chung, Hua, Apparatus for hybrid chemical processing.
  18. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  19. Guenther,Rolf A., Apparatus for providing gas to a processing chamber.
  20. Ahn, Kie Y.; Forbes, Leonard, Apparatus having a lanthanum-metal oxide semiconductor device.
  21. Marsh, Eugene P.; Kraus, Brenda D, Apparatus including rhodium-based charge traps.
  22. Myo, Nyi Oo; Choi, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Apparatuses for atomic layer deposition.
  23. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  24. Bhattacharyya,Arup, Asymmetric band-gap engineered nonvolatile memory device.
  25. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  26. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  27. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  28. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of CeO/AlOfilms as gate dielectrics.
  29. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of Dy doped HfOfilms as gate dielectrics.
  30. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer.
  31. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  32. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low assymmetrical tunnel barrier interpoly insulators.
  33. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators.
  34. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators.
  35. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators.
  36. Chung,Hua; Wang,Rongjun; Maity,Nirmalya, Atomic layer deposition of tantalum based barrier materials.
  37. Marcadal, Christophe; Wang, Rongjun; Chung, Hua; Maity, Nirmalya, Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA.
  38. Marcadal,Christophe; Wang,Rongjun; Chung,Hua; Maity,Nirmalya, Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA.
  39. Ma, Yi; Kher, Shreyas S.; Ahmed, Khaled; Goyani, Tejal; Mahajani, Maitreyee; Ravi, Jallepally; Huang, Yi-Chiau, Atomic layer deposition processes for non-volatile memory devices.
  40. Ma, Yi; Kher, Shreyas S.; Ahmed, Khaled; Goyani, Tejal; Mahajani, Maitreyee; Ravi, Jallepally; Huang, Yi-Chiau, Atomic layer deposition processes for non-volatile memory devices.
  41. Forbes,Leonard, Ballistic direct injection flash memory cell on strained silicon structures.
  42. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  43. Klauk,Hagen; Halik,Marcus; Zschieschang,Ute; Schmid,Guenter; Effenberger,Franz, Capacitor with a dielectric including a self-organized monolayer of an organic compound.
  44. Ahn,Kie; Forbes,Leonard, Capacitors having doped aluminum oxide dielectrics.
  45. Inagawa,Makoto; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  46. Shang, Quanyuan; Kardokus, Janine; Hosokawa, Akihiro, Chamber for uniform substrate heating.
  47. Shang,Quanyuan; Kardokus,Janine; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  48. Lee, Chang-hyun, Charge trapping nonvolatile memory devices with a high-K blocking insulation layer.
  49. Cuvalci, Olkan; Wu, Dien-Yeh; Yuan, Xiaoxiong, Chemical precursor ampoule for vapor deposition processes.
  50. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul F.; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang-ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  51. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang Ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  52. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride.
  53. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride films.
  54. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride films.
  55. Kraus, Brenda D; Marsh, Eugene P., Conductive nanoparticles.
  56. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  57. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  58. Nguyen, Son T.; Sangam, Kedarnath; Schwartz, Miriam; Choi, Kenric; Bhat, Sanjay; Narwankar, Pravin K.; Kher, Shreyas; Sharangapani, Rahul; Muthukrishnan, Shankar; Deaton, Paul, Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system.
  59. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  60. Chung, Hua; Chen, Ling; Chin, Barry L., Cyclical deposition of refractory metal silicon nitride.
  61. Chung,Hua; Chen,Ling; Chin,Barry L., Cyclical deposition of refractory metal silicon nitride.
  62. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  63. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  64. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  65. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  66. Forbes,Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  67. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Deposition methods for barrier and tungsten materials.
  68. Yoon,Ki Hwan; Cha,Yonghwa Chris; Yu,Sang Ho; Ahmad,Hafiz Farooq; Wee,Ho Sun, Deposition methods for barrier and tungsten materials.
  69. Law, Kam S.; Shang, Quanyuan; Harshbarger, William R.; Maydan, Dan; Choi, Soo Young; Park, Beom Soo; Yadav, Sanjay; White, John M., Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow.
  70. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators.
  71. Law, Kam; Shang, Quanyuan; Harshbarger, William Reid; Maydan, Dan, Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications.
  72. Li, Dong, Deposition of ruthenium or ruthenium dioxide.
  73. Law,Kam; Shang,Quanyuan; Harshbarger,William Reid; Maydan,Dan, Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications.
  74. Yoon, Hyungsuk A.; Fang, Hongbin; Yang, Michael X., Deposition of tungsten films.
  75. Lee, Wei Ti; Wang, Yen-Chih; Hassan, Mohd Fadzli Anwar; Kim, Ryeun Kwan; Park, Hyung Chul; Guo, Ted; Ritchie, Alan A., Deposition processes for titanium nitride barrier and aluminum.
  76. Ahn,Kie Y.; Forbes,Leonard, Devices with HfSiON dielectric films which are Hf-O rich.
  77. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  78. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  79. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  80. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  81. Lee,Jong Ho; Lee,Nae In, Dielectric layer for semiconductor device and method of manufacturing the same.
  82. Lee,Jongho; Lee,Nae In, Dielectric layer for semiconductor device and method of manufacturing the same.
  83. Marsh,Eugene P., Dielectric material forming methods.
  84. Marsh,Eugene P., Dielectric material forming methods and enhanced dielectric materials.
  85. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  86. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  87. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  88. Ahn,Kie; Forbes,Leonard, Doped aluminum oxide dielectrics.
  89. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Doped metal germanide and methods for making the same.
  90. Grimbergen, Michael N., Dual endpoint detection for advanced phase shift and binary photomasks.
  91. Lei, Lawrence C.; Kori, Moris, Dual robot processing system.
  92. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  93. Ahn,Kie; Forbes,Leonard, Electronic systems having doped aluminum oxide dielectrics.
  94. Grimbergen, Michael, Endpoint detection for photomask etching.
  95. Grimbergen, Michael, Endpoint detection for photomask etching.
  96. Chen, Ling; Chung, Hua; Chin, Barry L.; Zhang, Hong, Enhanced copper growth with ultrathin barrier layer for high performance interconnects.
  97. Chen, Ling; Chung, Hua; Chin, Barry L.; Zhang, Hong, Enhanced copper growth with ultrathin barrier layer for high performance interconnects.
  98. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  99. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  100. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  101. Chen,Ling; Chang,Mei, Enhancement of copper line reliability using thin ALD tan film to cap the copper line.
  102. Grimbergen, Michael, Etch rate detection for anti-reflective coating layer and absorber layer etching.
  103. Grimbergen, Michael N., Etch rate detection for photomask etching.
  104. Grimbergen, Michael, Etch rate detection for reflective multi-material layers etching.
  105. Ahn,Kie; Forbes,Leonard, Field-effect transistors having doped aluminum oxide dielectrics.
  106. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  107. Lee, Jong-ho, Flash memory device.
  108. Forbes, Leonard; Eldridge, Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  109. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  110. Lee, Chang-Hyun; Choi, Jung-Dal; Ye, Byoung-Woo, Floating trap non-volatile semiconductor memory devices including high dielectric constant blocking insulating layers.
  111. Lee,Chang Hyun; Choi,Jung Dal; Ye,Byoung Woo, Floating trap non-volatile semiconductor memory devices including high dielectric constant blocking insulating layers.
  112. Ahn,Kie; Forbes,Leonard, Floating-gate field-effect transistors having doped aluminum oxide dielectrics.
  113. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  114. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  115. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  116. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  117. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  118. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  119. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  120. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  121. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  122. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  123. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  124. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  125. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  126. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  127. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  128. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  129. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  130. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric layers.
  131. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  132. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  133. Ahn, Kie Y.; Forbes, Leonard, Hafnium titanium oxide films.
  134. Ahn, Kie Y.; Forbes, Leonard, Hafnium titanium oxide films.
  135. Bai, Gang; Fraser, David B.; Doyle, Brian S.; Cheng, Peng; Liang, Chunlin, High dielectric constant metal oxide gate dielectrics.
  136. Bai, Gang; Fraser, David B.; Doyle, Brian S.; Cheng, Peng; Liang, Chunlin, High dielectric constant metal oxide gate dielectrics.
  137. Bai,Gang; Fraser,David B.; Doyle,Brian S.; Cheng,Peng; Liang,Chunlin, High dielectric constant metal oxide gate dielectrics.
  138. Anthony, John Mark; Summerfelt, Scott R.; Wallace, Robert M.; Wilk, Glen D., High permittivity silicate gate dielectric.
  139. Anthony,John Mark; Summerfelt,Scott R.; Wallace,Robert M.; Wilk,Glen D., High permittivity silicate gate dielectric.
  140. Ahn, Kie Y.; Forbes, Leonard, High-K gate dielectric oxide.
  141. Chang, Kent Kuohua, High-K tunneling dielectric for read only memory device and fabrication method thereof.
  142. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-K gate oxide ZrO2.
  143. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate oxide ZrO2.
  144. Forbes,Leonard, In service programmable logic arrays with low tunnel barrier interpoly insulators.
  145. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  146. Ma, Paul F.; Aubuchon, Joseph F.; Chang, Mei; Kim, Steven H.; Wu, Dien-Yeh; Nakashima, Norman M.; Johnson, Mark; Palakodeti, Roja, In-situ chamber treatment and deposition process.
  147. Shero, Eric J; Pomarede, Christophe, Incorporation of nitrogen into high k dielectric film.
  148. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Integrated circuit memory device and method.
  149. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Integrated circuit memory device and method.
  150. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  151. Chung,Hua; Bekiaris,Nikolaos; Marcadal,Christophe; Chen,Ling, Integration of ALD/CVD barriers with porous low k materials.
  152. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  153. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  154. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Integration of titanium and titanium nitride layers.
  155. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Integration of titanium and titanium nitride layers.
  156. Nguyen, Khiem K.; Satitpunwaycha, Peter; Mak, Alfred W., Interferometer endpoint monitoring device.
  157. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  158. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  159. Ahn, Kie Y.; Forbes, Leonard, Lanthanum aluminum oxynitride dielectric films.
  160. Ahn,Kie Y.; Forbes,Leonard, Lanthanum aluminum oxynitride dielectric films.
  161. Lin, Yeou-Ming; Hou, Tuo-Hung, Layer of high-k inter-poly dielectric.
  162. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  163. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  164. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  165. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Low tunnel barrier insulators.
  166. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  167. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiOgate dielectrics.
  168. Yuan,Zheng; Arghavani,Reza; Yieh,Ellie Y; Venkataraman,Shankar, Low-thermal-budget gapfill process.
  169. Oowaki,Yukihito; Ono,Mizuki; Noguchi,Mitsuhiro; Takashima,Daisaburo; Nishiyama,Akira, MIS transistor and method for producing same.
  170. Oowaki Yukihito,JPX ; Ono Mizuki,JPX ; Noguchi Mitsuhiro,JPX ; Takashima Daisaburo,JPX ; Nishiyama Akira,JPX, MIS transistor having a large driving current and method for producing the same.
  171. Oowaki, Yukihito; Ono, Mizuki; Noguchi, Mitsuhiro; Takashima, Daisaburo; Nishiyama, Akira, MIS transistor having a large driving current and method for producing the same.
  172. Forbes, Leonard, Memory cells having gate structure with multiple gates and multiple materials between the gates.
  173. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  174. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  175. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  176. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  177. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide-conductor nanolaminates.
  178. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  179. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  180. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer.
  181. Ku, Vincent W.; Chen, Ling; Wu, Dien-Yeh; Ouye, Alan H.; Wysok, Irena, Method and apparatus for gas temperature control in a semiconductor processing system.
  182. Guenther, Rolf A., Method and apparatus for generating gas to a processing chamber.
  183. Ganguli, Seshadri; Ku, Vincent W.; Chung, Hua; Chen, Ling, Method and apparatus for monitoring solid precursor delivery.
  184. Ganguli, Seshadri; Chen, Ling; Ku, Vincent W., Method and apparatus for providing precursor gas to a processing chamber.
  185. Ganguli,Seshadri; Chen,Ling; Ku,Vincent W., Method and apparatus for providing precursor gas to a processing chamber.
  186. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  187. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method and system for controlling the presence of fluorine in refractory metal layers.
  188. Sinha,Ashok; Xi,Ming; Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  189. Xi, Ming; Sinha, Ashok; Kori, Moris; Mak, Alfred W.; Lu, Xinliang; Lai, Ken Kaung; Littau, Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  190. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  191. Yu, Keven; Chandrachood, Madhavi; Sabharwal, Amitabh; Kumar, Ajay, Method for etching EUV material layers utilized to form a photomask.
  192. Shinriki, Hiroshi; Jeong, Daekyun, Method for forming Ta-Ru liner layer for Cu wiring.
  193. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  194. Park, Dae-Gyu; Jang, Se-Aug; Lee, Jeong-Youb; Cho, Hung-Jae; Kim, Jung-Ho, Method for forming aluminum oxide as a gate dielectric.
  195. Shinriki, Hiroshi; Namba, Kunitoshi; Jeong, Daekyun, Method for forming metal film by ALD using beta-diketone metal complex.
  196. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  197. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  198. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  199. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  200. Metzner, Craig; Kher, Shreyas; Kim, Yeong Kwan; Rocklein, M. Noel; George, Steven M., Method for hafnium nitride deposition.
  201. Kraus, Brenda D; Marsh, Eugene P., Method for making conductive nanoparticle charge storage element.
  202. Tseng Hsing-Huang ; Tobin Philip J., Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits.
  203. Ganguli, Seshadri; Chen, Ling; Ku, Vincent W., Method for providing gas to a processing chamber.
  204. Ma, Paul; Aubuchon, Joseph F.; Lu, Jiang; Chang, Mei, Method for tuning a deposition rate during an atomic layer deposition process.
  205. Verplancken, Donald J.; Sinha, Ashok K., Method of delivering activated species for rapid cyclical deposition.
  206. Park, Hyung Sang, Method of depositing Ru films having high density.
  207. Conor Stefan Rafferty ; Glen David Wilk, Method of fabricating a dielectric layer.
  208. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer.
  209. Chung, Hua; Chen, Ling; Ku, Vincent W., Method of film deposition using activated precursor gases.
  210. Dong Su Park KR; Kwang Seok Jeon KR, Method of forming a capacitor in a semiconductor device.
  211. Park, Dae Gyu; Cha, Tae Ho, Method of forming a gate electrode in a semiconductor device.
  212. Sandhu, Sukesh; Prall, Kirk D., Method of forming a memory device and semiconductor device.
  213. Ahn, Kie Y.; Forbes, Leonard, Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide.
  214. Ahn, Kie Y.; Forbes, Leonard, Method of forming apparatus having oxide films formed using atomic layer deposition.
  215. Ahn, Kie Y.; Forbes, Leonard, Method of forming lutetium and lanthanum dielectric structures.
  216. Ahn, Kie Y.; Forbes, Leonard, Method of forming lutetium and lanthanum dielectric structures.
  217. Kostamo, Juhana; Soininen, Pekka J.; Elers, Kai-Erik; Haukka, Suvi, Method of growing electrical conductors.
  218. Kostamo,Juhana; Soininen,Pekka J.; Elers,Kai Erik; Haukka,Suvi, Method of growing electrical conductors.
  219. Soininen, Pekka J.; Elers, Kai-Erik; Haukka, Suvi, Method of growing electrical conductors.
  220. Yu Bin, Method of locally forming a high-k dielectric gate insulator.
  221. Yong Sik Yu KR; Kweon Hong KR, Method of manufacturing a capacitor in a semiconductor device.
  222. Spitzer, Andreas; Erben, Elke, Method of manufacturing a dielectric layer and corresponding semiconductor device.
  223. Dae Gyu Park KR, Method of manufacturing a semiconductor device utilizing a(Al2O3)X-(TiO2)1-X gate dielectric film.
  224. Chan Lim KR, Method of manufacturing an aluminum oxide film in a semiconductor device.
  225. Gutsche, Martin; Seidl, Harald, Method of providing trench walls by using two-step etching processes.
  226. Ramsbey Mark ; Pham Tuan D. ; Sun Yu ; Au Kenneth W., Methods and arrangements for forming a floating gate in non-volatile memory semiconductor devices.
  227. Ramsbey Mark ; Pham Tuan D. ; Sun Yu ; Au Kenneth W., Methods and arrangements for forming a floating gate in non-volatile memory semiconductor devices.
  228. Myo, Nyi Oo; Cho, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Methods for atomic layer deposition of hafnium-containing high-K dielectric materials.
  229. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  230. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Methods for depositing nickel films and for making nickel silicide and nickel germanide.
  231. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  232. Lai,Ken Kaung; Rajagopalan,Ravi; Khandelwal,Amit; Moorthy,Madhu; Gandikota,Srinivas; Castro,Joseph; Gelatos,Averginos V.; Knepfler,Cheryl; Jian,Ping; Fang,Hongbin; Huang,Chao Ming; Xi,Ming; Yang,Michael X.; Chung,Hua; Byun,Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  233. Marsh, Eugene P.; Kraus, Brenda D, Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps.
  234. Grimbergen, Michael N., Methods for reducing photoresist interference when monitoring a target layer in a plasma process.
  235. Kim, Jong Su; Park, Hyung Sang, Methods of depositing a ruthenium film.
  236. Lee,Chang Hyun; Choi,Jung Dal; Ye,Byoung Woo, Methods of fabricating floating trap non-volatile semiconductor memory devices including high dielectric constant blocking insulating layers.
  237. Segal,Vladimir M.; Li,Jianxing; Alford,Frank; Ferrasse,Stephane, Methods of forming aluminum-comprising physical vapor deposition targets; sputtered films; and target constructions.
  238. Ahn, Kie Y.; Forbes, Leonard, Methods of forming an insulating metal oxide.
  239. Ahn,Ki Y.; Forbes,Leonard, Methods of forming assemblies comprising silicon-doped aluminum oxide.
  240. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  241. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  242. Heo, Jin-Hwa; Kim, Chul-Sung; Koo, Bon-Young; Hwang, Ki-Hyun; Lee, Chang-Hyun, Methods of forming non-volatile memory devices.
  243. Ahn,Kie Y.; Forbes,Leonard, Methods of forming silicon-doped aluminum oxide, and methods of forming transistors and memory devices.
  244. Ahn,Kie Y.; Forbes,Leonard, Methods of forming silicon-doped aluminum oxide, and methods of forming transistors and memory devices.
  245. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  246. Ahn,Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  247. Liu, Zhenyu; Leicht, Peter Rudolf; Liu, Yixiong, Multilayer structured coatings for cutting tools.
  248. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  249. Lee, Chang-Hyun; Choi, Jung-Dal, Non-volatile memory devices and methods of operating the same.
  250. Lee, Chang-Hyun; Choi, Jung-Dal; Ye, Byoung-Woo, Non-volatile semiconductor memory devices.
  251. Lee, Chang-Hyun; Choi, Jung-Dal; Ye, Byoung-Woo, Non-volatile semiconductor memory devices.
  252. Lee, Chang-Hyun; Choi, Jung-Dal; Ye, Byoung-Woo, Non-volatile semiconductor memory devices.
  253. Lee,Chang Hyun; Choi,Jung Dal; Ye,Byoung Woo, Non-volatile semiconductor memory devices.
  254. Lee,Sung Hae; Lee,Chang Hyun; Hwang,Ki Hyun; Baek,Sung Kweon; Park,Kwang Min, Non-volatile semiconductor memory devices and methods of fabricating the same.
  255. Mahajani, Maitreyee; Yudovsky, Joseph; McDougall, Brendan, Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool.
  256. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  257. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  258. Raisanen, Petri; Marcus, Steven, Plasma-enhanced deposition process for forming a metal oxide thin film and related structures.
  259. Wood, Michael; Chin, Barry L.; Smith, Paul F.; Cheung, Robin, Post metal barrier/adhesion film.
  260. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  261. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  262. Schaeffer, III, James K.; Raymond, Mark V.; Nguyen, Bich-Yen, Process for forming a metal oxy-nitride dielectric layer by varying the flow rate of nitrogen into the chamber.
  263. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  264. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  265. Ganguli, Seshadri; Chu, Schubert S.; Chang, Mei; Yu, Sang-Ho; Moraes, Kevin; Phan, See-Eng, Process for forming cobalt-containing materials.
  266. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  267. Putkonen,Matti, Process for producing yttrium oxide thin films.
  268. Putkonen, Matti, Process for producing zirconium oxide thin films.
  269. Putkonen, Matti, Process for producing zirconium oxide thin films.
  270. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  271. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  272. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  273. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  274. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  275. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  276. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  277. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  278. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  279. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  280. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  281. Lu,Xinliang; Jian,Ping; Yoo,Jong Hyun; Lai,Ken Kaung; Mak,Alfred W.; Jackson,Robert L.; Xi,Ming, Pulsed nucleation deposition of tungsten layers.
  282. Lee, Myoung-jae; Lee, Eun-hong; Park, Young-soo, Resistance random access memory and method of manufacturing the same.
  283. Shinriki, Hiroshi; Inoue, Hiroaki, Ruthenium alloy film for copper interconnects.
  284. Gandikota,Srinivas; Moorthy,Madhu; Khandelwal,Amit; Gelatos,Avgerinos V.; Chang,Mei; Shah,Kavita; Ganguli,Seshadri, Ruthenium as an underlayer for tungsten film deposition.
  285. Forbes, Leonard, SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  286. Forbes,Leonard, SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  287. Bhattacharyya, Arup, Scalable Flash/NV structures and devices with extended endurance.
  288. Bhattacharyya,Arup, Scalable Flash/NV structures and devices with extended endurance.
  289. Bhattacharyya,Arup, Scalable Flash/NV structures and devices with extended endurance.
  290. Bhattacharyya,Arup, Scalable flash/NV structures and devices with extended endurance.
  291. Chung, Hua; Chen, Ling; Ku, Vincent W.; Yang, Michael X.; Yao, Gongda, Selective deposition of a barrier layer on a dielectric material.
  292. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  293. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  294. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  295. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  296. Horikawa, Tsuyoshi, Semiconductor device and manufacturing method thereof.
  297. Hashimoto, Koji; Inoue, Soichi; Takahata, Kazuhiro; Yoshikawa, Kei, Semiconductor device fabrication method and semiconductor device.
  298. Hashimoto, Koji; Inoue, Soichi; Takahata, Kazuhiro; Yoshikawa, Kei, Semiconductor device fabrication method and semiconductor device.
  299. Hashimoto,Koji; Inoue,Soichi; Takahata,Kazuhiro; Yoshikawa,Kei, Semiconductor device fabrication method and semiconductor device.
  300. Hashimoto, Koji; Inoue, Soichi; Takahata, Kazuhiro; Yoshikawa, Kei, Semiconductor device fabrication method using multiple mask patterns.
  301. Hashimoto, Koji; Inoue, Soichi; Takahata, Kazuhiro; Yoshikawa, Kei, Semiconductor device fabrication method using multiple resist patterns.
  302. Sandhu, Gurtej; Derderian, Garo J., Semiconductor device with novel film composition.
  303. Sandhu, Gurtej; Derderian, Garo J., Semiconductor device with novel film composition.
  304. Schaeffer, III, James K.; Raymond, Mark V.; Nguyen, Bich-Yen, Semiconductor structure and process for forming a metal oxy-nitride dielectric layer.
  305. Cao, Wei; Chung, Hua; Ku, Vincent W.; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  306. Cao, Wei; Chung, Hua; Ku, Vincent; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  307. Cao, Wei; Chung, Hua; Ku, Vincent; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  308. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  309. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  310. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  311. Olsen, Christopher; Narwankar, Pravin K.; Kher, Shreyas S.; Thakur, Randhir; Muthukrishnan, Shankar; Kraus, Philip A., Stabilization of high-k dielectric materials.
  312. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  313. Xi,Ming; Yang,Michael; Zhang,Hui, System and method for forming an integrated barrier layer.
  314. Shah, Kavita; Yang, Haichun; Chu, Schubert S., Tantalum carbide nitride materials by vapor deposition processes.
  315. Bakli, Mouloud; Ghanayem, Steve G.; Tran, Huyen T., Tantalum nitride CVD deposition by tantalum oxide densification.
  316. Sandhu, Sukesh; Prall, Kirk D., Technique to quench electrical defects in aluminum oxide film.
  317. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.
  318. Chung,Hua, Titanium tantalum nitride silicide layer.
  319. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  320. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Tungsten nitride atomic layer deposition processes.
  321. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  322. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  323. Ku,Vincent W.; Chen,Ling; Wu,Dien Yeh, Valve design and configuration for fast delivery system.
  324. Ku,Vincent W.; Chen,Ling; Wu,Dien Yeh, Valve design and configuration for fast delivery system.
  325. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  326. Shah, Kavita; Yang, Haichun; Chu, Schubert S., Vapor deposition processes for tantalum carbide nitride materials.
  327. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  328. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  329. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  330. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  331. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  332. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  333. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  334. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  335. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  336. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.
  337. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
  338. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
  339. Chou, Jung Chuan; Chiang, Jung Lung, α-WO3-gate ISFET devices and method of making the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로