$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plated copper interconnect structure 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/45
출원번호 US-0857129 (1997-05-15)
발명자 / 주소
  • Ting Chiu
  • Dubin Valery
출원인 / 주소
  • Advanced Micro Devices, Inc.
인용정보 피인용 횟수 : 507  인용 특허 : 12

초록

A high conductivity interconnect structure is formed by electroplating or electroless plating of Cu or a Cu-base alloy on a seed layer comprising an alloy of a catalytically active metal, such as Cu, and a refractory metal, such as Ta. The seed layer also functions as a barrier/adhesion layer for th

대표청구항

[ What is claimed is:] [1.] A semiconductor device comprising:a semiconductor substrate;a dielectric interlayer formed on a level above the semiconductor substrate, which dielectric interlayer has an upper surface and an opening therein filled with conductive material forming an interconnect pattern

이 특허에 인용된 특허 (12)

  1. Shacham Yosef Y. (Ithaca NY) Bielski Roman (Ithaca NY), Alkaline free electroless deposition.
  2. Frankenthal Robert P. (Summit NJ) Ibidunni Ajibola O. (Litchfield NH) Krause Dennis L. (Atkinson NH), Copper-based metallizations for hybrid integrated circuits.
  3. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  4. Andreshak Joseph C. (Mahopac NY) Baseman Robert J. (Brewster NY), Laser ablation damascene process.
  5. Frank Aaron L. (Malden MA) Ibidunni Ajibola O. (Litchfield NH) Johnson Douglas B. (Hampstead NH) Krause Dennis L. (Atkinson NH) Nguyen Trac (Haverhill MA), Metallization for polymer-dielectric multichip modules including a Ti/Pd alloy layer.
  6. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  7. Chakravorty Kishore K. (Issaquah WA) Tanielian Minas H. (Bellevue WA), Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers.
  8. Cuomo Jerome J. (Lincolndale NY) Guarnieri Charles R. (Somers NY) Hopwood Jeffrey A. (Brewster NY) Whitehair Stanley J. (Peekskill NY), Radio frequency induction plasma processing system utilizing a uniform field coil.
  9. Cote William J. (Poughquag NY) Lee Pei-Ing P. (Williston VT) Sandwick Thomas E. (Hopewell Junction NY) Vollmer Bernd M. (Wappingers Falls NY) Vynorius Victor (Pleasant Valley NY) Wolff Stuart H. (Tul, Refractory metal capped low resistivity metal conductor lines and vias.
  10. Baum Thomas H. (San Jose CA) Houle Frances A. (Fremont CA) Jones Carol R. (San Jose CA) Kovac Caroline A. (Ridgefield CT), Selective deposition of copper.
  11. Aoyama Hisako (Kawasaki JPX) Suguro Kyoichi (Yokohama JPX) Niiyama Hiromi (Yokohama JPX) Tamura Hitoshi (Yokohama JPX) Hayashi Hisataka (Yokohama JPX) Aoyama Tomonori (Kawasaki JPX) Minamihaba Gaku (, Semiconductor device having a wiring layer with a barrier layer.
  12. Sandhu Gurtej S. (Boise ID) Kim Sung C. (Boise ID) Kubista David J. (Nampa ID), Sputtering with collinator cleaning within the sputtering chamber.

이 특허를 인용한 특허 (507)

  1. Pacetti, Stephen Dirk; DesNoyer, Jessica; Chen, Yung Ming; Kleiner, Lothar; Hossainy, Syed F. A., Abluminal, multilayer coating constructs for drug-delivery stents.
  2. Charneski, Lawrence J.; Nguyen, Tue; Bhandari, Gautam, Adhesion promotion method for CVD copper metallization in IC applications.
  3. Lawrence J. Charneski ; Tue Nguyen ; Gautam Bhandari, Adhesion promotion method for CVD copper metallization in IC applications.
  4. Cohen, Uri, Advanced seed layers for interconnects.
  5. Cohen,Uri, Advanced seed layery for metallic interconnects.
  6. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  7. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  8. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  9. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  10. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  11. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  12. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  13. Robert T. Rozbicki, Anti-agglomeration of copper seed layers in integrated circuit metalization.
  14. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  15. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  16. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  17. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  18. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  19. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  20. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  21. Chen, Yung Ming; Tang, Fuh Wei, Apparatus and method for electrostatic coating of an abluminal stent surface.
  22. Klawuhn, Erich R.; Rozbicki, Robert; Dixit, Girish A., Apparatus and methods for deposition and/or etch selectivity.
  23. Klawuhn,Erich R.; Rozbicki,Robert; Dixit,Girish A., Apparatus and methods for deposition and/or etch selectivity.
  24. Cohen, Uri, Apparatus for depositing seed layers.
  25. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  26. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  27. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  28. Ritzdorf, Thomas L.; Stevens, E. Henry; Chen, LinLin; Graham, Lyndon W.; Dundas, Curt, Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  29. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  30. Pradhan, Anshu A.; Rozbicki, Robert, Atomic layer profiling of diffusion barrier and metal seed layers.
  31. Pradhan, Anshu A.; Rozbicki, Robert, Atomic layer profiling of diffusion barrier and metal seed layers.
  32. Lin, Jing-Cheng; Huang, Cheng-Lin; Shue, Winston; Liang, Mong-Song, Barrier free copper interconnect by multi-layer copper seed.
  33. Farrar, Paul A., Barrier layer associated with a conductor layer in damascene structures.
  34. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  35. Kao, Chih-Kuang; Yang, Huei-Wen; Huang, Yung-Sheng; Lin, Yu-Wen, Barrier layer for copper interconnect.
  36. Kao, Chih-Kuang; Yang, Huei-Wen; Huang, Yung-Sheng; Lin, Yu-Wen, Barrier layer for copper interconnect.
  37. Pan, Shing-Chyang; Kuo, Han-Hsin; Ko, Chung-Chi; Hsieh, Ching-Hua, Barrier layer for copper interconnect.
  38. Woo, Christy Mei-Chu; Joo, Young-Chang; Lukanc, Todd, Barrier layer integrity test.
  39. Liu, Nai-Wei; Wu, Zhen-Cheng; Huang, Cheng-Lin; Huang, Po-Hsiang; Wang, Yung-Chih; Su, Shu-Hui; Chen, Dian-Hau; Mii, Yuh-Jier, Barrier layers for copper interconnect.
  40. Lane, Michael; McFeely, Fenton Read; Murray, Conal; Rosenberg, Robert, Barrier material for copper structures.
  41. Woo, Christy Mei-Chu; Marathe, Amit P., Barrier metal integrity testing using a dual level line to line leakage testing pattern and partial CMP.
  42. Lin,Jing Cheng; Huang,Cheng Lin; Hsieh,Ching Hua; Shue,Shau Lin; Liang,Mong Song, Barrier-less integration with copper alloy.
  43. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  44. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  45. Farrar, Paul A.; Noble, Wendell P., Buried conductors.
  46. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  47. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  48. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  49. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  50. Lubomirsky, Dmitry, Chamber with flow-through source.
  51. Lubomirsky, Dmitry, Chamber with flow-through source.
  52. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  53. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  54. Lin, Mou-Shiung, Chip structure with a passive device and method for forming the same.
  55. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  56. Hu, Dyi-Chung, Circuit board structure with embedded fine-pitch wires and fabrication method thereof.
  57. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  58. Cohen, Uri, Combined conformal/non-conformal seed layers for metallic interconnects.
  59. Li,Lain Jong; Bao,Tien I; Jeng,Shwang Ming; Jang,Syun Ming; Huang,Jun Lung; Liu,Jeng Cheng, Composite etching stop in semiconductor process integration.
  60. Wirth, Alexandra, Compositions for the currentless deposition of ternary materials for use in the semiconductor industry.
  61. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  62. Sulfridge, Marc, Conductive interconnect structures and formation methods using supercritical fluids.
  63. Sulfridge, Marc, Conductive interconnect structures and formation methods using supercritical fluids.
  64. Sulfridge, Marc, Conductive interconnect structures and formation methods using supercritical fluids.
  65. Hsu, Rockwell M.; Dory, Thomas S., Conductive interconnects along the edge of a microelectronic device.
  66. Coleman,James P.; Ferguson,Scott Wayne; Grunlan,Jaime C.; Forster,Ian J.; Holman,Andrew W.; Liu,Peikang, Conductive pattern and method of making.
  67. Shaviv, Roey; Gopinath, Sanjay; Holverson, Peter; Pradhan, Anshu A., Conformal films on semiconductor substrates.
  68. Shaviv, Roey; Gopinath, Sanjay; Holverson, Peter; Pradhan, Anshu A., Conformal films on semiconductor substrates.
  69. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  70. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  71. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  72. Chang, Chung-Long; Chao, Chih-Ping; Chen, Chun-Hung; Tseng, Hua-Chao; Cheng, Jye-Yen; Chuang, Harry-Hak-Lay, Contact structure for reducing gate resistance and method of making the same.
  73. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  74. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  75. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  76. Ding Peijun ; Chiang Tony ; Hashim Imran ; Sun Bingxi ; Chin Barry, Copper alloy seed layer for copper metallization in an integrated circuit.
  77. Lane,Michael W.; Chiras,Stefanie R.; Spooner,Terry A.; Rosenberg,Robert; Edelstein,Daniel C., Copper conductor.
  78. Jourdan, Nicolas; Torres, Joaquin, Copper diffusion barrier.
  79. Jourdan, Nicolas; Torres, Joaquin, Copper diffusion barrier.
  80. Cunningham,James A., Copper interconnect systems.
  81. Cunningham,James A., Copper interconnect systems which use conductive, metal-based cap layers.
  82. Cunningham,James A., Copper interconnect systems which use conductive, metal-based cap layers.
  83. Cunningham,James A., Copper interconnect systems which use conductive, metal-based cap layers.
  84. Daniel Charles Edelstein ; James McKell Edwin Harper ; Chao-Kun Hu ; Andrew H. Simon ; Cyprian Emeka Uzoh, Copper interconnection structure incorporating a metal seed layer.
  85. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  86. Farrar Paul A., Copper metallurgy in integrated circuits.
  87. Farrar, Paul A., Copper metallurgy in integrated circuits.
  88. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  89. Lopatin Sergey ; Nogami Takeshi ; Cheung Robin W. ; Woo Christy Mei-Chu ; Morales Guarionex, Copper/low dielectric interconnect formation with reduced electromigration.
  90. Luo, Qian; Sundarrajan, Arvind; Chung, Hua; Tang, Xianmin; Yu, Jick M.; Narasimhan, Murali K., Cu surface plasma treatment to improve gapfill window.
  91. Spurlin, Tighe A.; Zhou, Jian; Opocensky, Edward C.; Reid, Jonathan; Mayer, Steven T., Current ramping and current pulsing entry of substrates for electroplating.
  92. Lee, Jae Sik; We, Hong Bok; Kim, Dong Wook, Damascene re-distribution layer (RDL) in fan out split die application.
  93. Dory,Thomas S.; Wong,Kenneth N., Deep via seed repair using electroless plating chemistry.
  94. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  95. Wu, Hui-Jung; Juliano, Daniel R.; Wu, Wen; Dixit, Girish, Deposition of doped copper seed layers having improved reliability.
  96. Dulkin, Alexander; Vijayendran, Anil; Yu, Tom; Juliano, Daniel R., Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer.
  97. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  98. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  99. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  100. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  101. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  102. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  103. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  104. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  105. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  106. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  107. Huang Yimin,TWX, Dual damascene process for manufacturing interconnects.
  108. Lou Chine-Gie,TWX ; Chen Hsueh-Chung,TWX, Dual damascene process using selective W CVD.
  109. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  110. Uglow, Jay E.; Bright, Nicolas J.; Hemker, Dave J.; MacWilliams, Kenneth P.; Benzing, Jeffrey C.; Archer, Timothy M., Dual-damascene dielectric structures.
  111. Uglow Jay E. ; Bright Nicolas J. ; Hemker Dave J. ; MacWilliams Kenneth P. ; Benzing Jeffrey C. ; Archer Timothy M., Dual-damascene dielectric structures and methods for making the same.
  112. Minshall, Edmund B.; Biggs, Kevin; Stowell, R. Marshall; Fetters, Wayne, Electroless copper deposition apparatus.
  113. Andryuschenko, Tatyana N.; Reid, Jonathan D.; Mayer, Steven T.; Webb, Eric G., Electroless copper deposition method for preparing copper seed layers.
  114. Varadarajan,Seshasayee; Zhou,Jian, Electroless copper fill process.
  115. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  116. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  117. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  118. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  119. Buynoski, Matthew S.; Besser, Paul R.; King, Paul L.; Paton, Eric N.; Xang, Qi, Electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  120. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  121. Park, Heung L.; Webb, Eric G.; Reid, Jonathan D.; Cleary, Timothy Patrick, Electroless layer plating process and apparatus.
  122. Arvin, Charles L.; Bird, Kenneth; Goldsmith, Charles C.; Kang, Sung K.; Lu, Minhua; McCarthy, Clare J.; Perfecto, Eric D.; Reddy, Srinivasa S. N.; Semkow, Krystyna W.; Wassick, Thomas A., Electromigration-resistant lead-free solder interconnect structures.
  123. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  124. Matsuda, Tetsuo; Toyoda, Hiroshi; Kaneko, Hisashi, Electronic device manufacturing method.
  125. Matsuda,Tetsuo; Toyoda,Hiroshi; Kaneko,Hisashi, Electronic device manufacturing method.
  126. Hsu, Louis Lu-Chen; Mandelman, Jack Allan; Tonti, William Robert; Yang, Chih-Chao, Electronic fuses in semiconductor integrated circuits.
  127. Lu-Chen Hsu, Louis; Mandelman, Jack Allan; Tonti, William Robert; Yang, Chih-Chao, Electronic fuses in semiconductor integrated circuits.
  128. Maydan, Dan; Sinha, Ashok K., Electroplating apparatus using a perforated phosphorus doped consumable anode.
  129. Feng, Jingbin; He, Zhian; Rash, Robert; Mayer, Steven T., Electroplating apparatus with vented electrolyte manifold.
  130. Webb,Eric G.; Reid,Jonathan D.; Sukamto,John H.; Takada,Yuichi, Electroplating bath containing wetting agent for defect reduction.
  131. Daviot, Jérôme; Gonzalez, José, Electroplating composition for coating a substrate surface with a metal.
  132. Monchoix, Hervé; Raynal, Frédéric; Daviot, Jérôme; Gonzalez, José, Electroplating method for coating a substrate surface with a metal.
  133. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  134. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  135. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  136. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  137. Gritters, John K., Extended probe tips.
  138. Kitch, Vassili, Fabrication of copper-containing region such as electrical interconnect.
  139. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  140. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  141. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  142. Kitch,Vassili, Fabrication technique using sputter etch and vacuum transfer.
  143. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  144. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  145. Krishnashree Achuthan ; Sergey Lopatin, Formation without vacuum break of sacrificial layer that dissolves in acidic activation solution within interconnect.
  146. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  147. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  148. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  149. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  150. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  151. Edelstein, Daniel C.; Wong, Keith Kwong Hon; Yang, Chih-Chao; Yang, Haining S., High aspect ratio electroplated metal feature and method.
  152. Sinha, Nishant; Morgan, Paul A., High aspect ratio fill method and resulting structure.
  153. Sinha, Nishant; Morgan, Paul A., High aspect ratio fill method and resulting structure.
  154. Lin, Mou-Shiung, High performance system-on-chip discrete components using post passivation process.
  155. Lin, Mou-Shiung, High performance system-on-chip discrete components using post passivation process.
  156. Lin, Mou-Shiung, High performance system-on-chip inductor using post passivation process.
  157. Lin, Mou-Shing, High performance system-on-chip using post passivation process.
  158. Lin, Mou-Shiung, High performance system-on-chip using post passivation process.
  159. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  160. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  161. Farrar,Paul A., Hplasma treatment.
  162. Chen, Dian-Hau; Ma, Ching-Tien; Lee, Hsiang-Tan, IMD film composition for dual damascene process.
  163. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  164. Sun,Sey Shing; Kwak,Byung Sung L.; Burke,Peter A., Integrated barrier and seed layer for copper interconnect technology.
  165. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  166. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  167. Engelhardt, Manfred, Integrated circuit configuration using spacers as a diffusion barrier and method of producing such an integrated circuit configuration.
  168. Pin-Chin Connie Wang ; Amit P. Marathe ; Christy Mei-Chu Woo, Integrated circuit interconnect shunt layer.
  169. Dubin,Valery M., Integrated circuit with metal layer having carbon nanotubes and methods of making same.
  170. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  171. Zagrebelny, Andrey V.; Carter, Chet E., Integrated circuitry, methods of forming memory cells, and methods of patterning platinum-containing material.
  172. Zagrebelny, Andrey V.; Carter, Chet E., Integrated circuitry, methods of forming memory cells, and methods of patterning platinum-containing material.
  173. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  174. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  175. Chen Dian-Hau,TWX ; Ma Ching-Tien,TWX ; Lee Hsiang-Tan,TWX, Inter-metal dielectric film composition for dual damascene process.
  176. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  177. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  178. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  179. Lu-Chen Hsu, Louis; Mandelman, Jack Allan; Tonti, William Robert; Yang, Chih-Chao, Layered structure with fuse.
  180. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  181. Ko, Ting-Chu; Tsai, Ming-Hsing; Shih, Chien-Hsueh, Low resistance and reliable copper interconnects by variable doping.
  182. Ko, Ting-Chu; Tsai, Ming-Hsing; Shih, Chien-Hsueh, Low resistance and reliable copper interconnects by variable doping.
  183. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  184. Koura, Yumiko; Kitada, Hideki; Ozawa, Kiyoshi, Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy.
  185. Koura, Yumiko; Kitada, Hideki; Ozawa, Kiyoshi, Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy and semiconductor device of this kind.
  186. Horii, Hideki, Manufacturing method for capacitor having electrode formed by electroplating.
  187. Rha Sa Kyun,KRX, Manufacturing method of interconnection layer for semiconductor device.
  188. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  189. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  190. Lane,Michael; Chiras,Stefanie R.; Spooner,Terry A.; Rosenberg,Robert; Edelstein,Daniel C., Metal capped copper interconnect.
  191. Beck,Michael, Metal interconnect structure and method.
  192. Cohen, Uri, Metallic interconnects products.
  193. Pradhan, Anshu A.; Hayden, Douglas B.; Kinder, Ronald L.; Dulkin, Alexander, Method and apparatus for increasing local plasma density in magnetically confined plasma.
  194. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method and apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  195. Van Beek,Jozef Thomas Martinus; Rijks,Theodoor Gertrudis Silvester Maria; Matters Kammerer,Marion Kornelia; Van Esch,Henricus Andreas, Method and device for forming a winding on a non-planar substrate.
  196. Cyprian Emeka Uzoh ; Daniel C. Edelstein ; Andrew Simon, Method and structure for improving electromigration of chip interconnects.
  197. Wang Kun-Chih,TWX ; Yang Ming-Sheng,TWX ; Hsieh Wen-Yi,TWX, Method fabricating metal interconnected structure.
  198. Kloster, Grant M.; Hearne, Sean J., Method for alloy-electroplating group IB metals with refractory metals for interconnections.
  199. Danek, Michal; Rozbicki, Robert, Method for depositing a diffusion barrier for copper interconnect applications.
  200. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  201. Srinivas Gandikota ; Dennis Cong ; Liang Chen ; Sesh Ramaswami ; Daniel Carl, Method for enhancing the adhesion of copper deposited by chemical vapor deposition.
  202. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Bubber, Randhir S.; Velo, Lino A., Method for fabricating a semiconductor chip interconnect.
  203. Chen Shuenn-Jeng,TWX ; Hsu Chih-Ching,TWX, Method for fabricating an interconnect.
  204. Hong,Ji Ho, Method for fabricating semiconductor device to minimize terminal effect in ECP process.
  205. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  206. Ritzdorf, Thomas L.; Graham, Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  207. Ritzdorf,Thomas L.; Graham,Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  208. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  209. Shih Tsu,TWX ; Chen Ying-Ho,TWX ; Twu Jih-Churng,TWX ; Jang Syun-Ming,TWX, Method for forming a self-aligned copper structure with improved planarity.
  210. Chine-Gie Lou TW, Method for forming copper dual damascene.
  211. Andricacos, Panayotis Constantinou; Cabral, Jr., Cyril; Parks, Christopher Carr; Rodbell, Kenneth Parker; Tsai, Roger Yen-Luen, Method for forming electromigration-resistant structures by doping.
  212. You Lu ; Pramanick Shekhar ; Nogami Takeshi, Method for forming low dielectric passivation of copper interconnects.
  213. Syun-Ming Jang TW, Method for improvement of planarity of electroplated copper.
  214. Suzuki,Kenji, Method for integrated substrate processing in copper metallization.
  215. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method for low temperature annealing of metallization micro-structures in the production of a microelectronic device.
  216. Lin, Mou-Shiung; Lee, Jin-Yuan, Method for making high-performance RF integrated circuits.
  217. Lin, Mou-Shiung; Lee, Jin-Yuan, Method for making high-performance RF integrated circuits.
  218. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  219. Ueno Kazuyoshi,JPX, Method for manufacturing a semiconductor device.
  220. Kanaoka, Taku, Method for manufacturing a semiconductor device including application of a plating voltage.
  221. Chen Sheng-Hsiung,TWX ; Tsai Ming-Hsing,TWX, Method for preventing seed layer oxidation for high aspect gap fill.
  222. Chowdhury Rina ; Jain Ajay ; Adetutu Olubunmi, Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer.
  223. Sergey D. Lopatin ; John A. Iacoponi, Method for ramped current density plating of semiconductor vias and trenches.
  224. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  225. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX, Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby.
  226. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Method for selectively coating surfaces of a stent.
  227. Yu, Chen-Hua; Yeh, Chen-Nan; Yao, Chih-Hsiang; Wan, Wen-Kai; Cheng, Jye-Yen, Method for stacked contact with low aspect ratio.
  228. Lai Han-Chung,TWX, Method for using ultrasound for assisting forming conductive layers on semiconductor devices.
  229. Ajit P. Paranjpe ; Randhir S. Bubber ; Sanjay Gopinath ; Thomas R. Omstead ; Mehrdad M. Moslehi, Method of chemical-vapor deposition of a material.
  230. Imran Hashim ; Hong-Mei Zhang ; John C. Forster, Method of depositing a copper seed layer which promotes improved feature surface coverage.
  231. Imran Hashim ; Hong-Mei Zhang ; John C. Forster, Method of depositing a copper seed layer which promotes improved feature surface coverage.
  232. Rozbicki, Robert T.; Danek, Michal; Klawuhn, Erich R., Method of depositing a diffusion barrier for copper interconnect applications.
  233. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  234. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  235. Ming-Hsing Tsai TW; Sheng Hsiang Chen TW, Method of doping copper metallization.
  236. Lopatin Sergey, Method of electroless ag layer formation for cu interconnects.
  237. Paul A. Farrar, Method of fabricating a barrier layer associated with a conductor layer in damascene structures.
  238. Lopatin, Sergey; Bernard, Joffre F.; King, Paul L., Method of fabricating a semiconductor device by calcium doping a copper surface using a chemical solution.
  239. Park Stephen Keetai, Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal.
  240. Huang Chao-Yuan,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX, Method of fabricating dual damascene structure.
  241. Ko, Jungmin, Method of fin patterning.
  242. Chopra,Dinesh, Method of forming a barrier seed layer with graded nitrogen composition.
  243. Lee, Dok Won; Papou, Andrei; French, William; Hopper, Peter J., Method of forming a laminated magnetic core with sputter deposited and electroplated layers.
  244. Nopper, Markus; Preusse, Axel, Method of forming a metal layer over patterned dielectric by electroless deposition using a catalyst.
  245. Gilton Terry L. ; Chopra Dinesh, Method of forming a metal seed layer for subsequent plating.
  246. Gilton, Terry L.; Chopra, Dinesh, Method of forming a metal seed layer for subsequent plating.
  247. Terry L. Gilton ; Dinesh Chopra, Method of forming a metal seed layer for subsequent plating.
  248. Ito, Nobukazu, Method of forming a semiconductor device and an improved deposition system.
  249. Lopatin, Sergey D.; Besser, Paul R.; Buynoski, Matthew S.; Wang, Pin-Chin Connie, Method of forming an adhesion layer with an element reactive with a barrier layer.
  250. Soon-moon Jung KR; Sun-cheol Hong KR; Sang-eun Lee KR, Method of forming contact structure in a semiconductor device.
  251. Lin Chien-Hsing,TWX, Method of forming copper dual damascene structure.
  252. Kamijima, Akifumi, Method of forming plating film, method of manufacturing magnetic device and method of manufacturing perpendicular magnetic recording head.
  253. Lopatin, Sergey D.; Besser, Paul R.; Buynoski, Matthew S., Method of implantation after copper seed deposition.
  254. Besser, Paul R.; Buynoski, Matthew S.; Lopatin, Sergey D., Method of implanting copper barrier material to improve electrical performance.
  255. Nogami Takeshi,JPX ; Brown Dirk D. ; Lopatin Sergey, Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish.
  256. Besser, Paul R.; Buynoski, Matthew S.; Lopatin, Sergey D.; Myers, Alline F.; Wang, Phin-Chin Connie, Method of inserting alloy elements to reduce copper diffusion and bulk diffusion.
  257. Johnston, Steven W.; Cheng, Chin-Chang, Method of making a bottomless via.
  258. Hu, Dyi-Chung, Method of making a circuit board structure with embedded fine-pitch wires.
  259. Chan Lap ; Zheng Jia Zhen,SGX, Method of making a copper interconnect with top barrier layer.
  260. Liu, Nai-Wei; Wu, Zhen-Cheng; Huang, Cheng-Lin; Huang, Po-Hsiang; Wang, Yung-Chih; Su, Shu-Hui; Chen, Dian-Hau; Mii, Yuh-Jier, Method of making a semiconductor device including barrier layers for copper interconnect.
  261. Ponoth,Shom; Chen,Steven Shyng Tsong; Fitzsimmons,John Anthony; Spooner,Terry Allen, Method of making a semiconductor structure with a plating enhancement layer.
  262. Coleman, James P.; Edwards, David N.; Forster, Ian J.; Iyer, Pradeep S.; Licon, Mark A., Method of making conductive pattern.
  263. Coleman, James P.; Edwards, David N.; Forster, Ian J.; Iyer, Pradeep; Licon, Mark A., Method of making conductive patterns.
  264. Hidemitsu Aoki JP, Method of manufacturing a semiconductor device.
  265. Chong, Chin Hui; Lee, Choon Kuan, Method of manufacturing an interposer.
  266. Engelhardt,Manfred, Method of producing an integrated circuit configuration.
  267. Mashino,Naohiro, Method of producing of circuit board; for semiconductor device.
  268. Chopra,Dinesh; Donohoe,Kevin G.; Basceri,Cem, Method of providing a structure using self-aligned features.
  269. Lopatin, Sergey, Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution.
  270. Sergey Lopatin, Method of reducing electromigration in copper lines by forming an interim layer of calcium-doped copper seed layer in a chemical solution and semiconductor device thereby formed.
  271. Yan,John Y.; Chan,Randy, Method of reducing or eliminating thrombus formation.
  272. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  273. Cheung, Robin; Dordi, Yezdi; Tseng, Jennifer, Method of treating a substrate.
  274. Lopatin,Sergey D.; Besser,Paul R.; Myers,Alline F.; Romero,Jeremias D.; Tran,Minh Q.; You,Lu; Wang,Pin Chin Connie, Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition.
  275. Lopatin, Sergey D.; Besser, Paul R.; Wang, Pin-Chin Connie, Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect.
  276. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method to eliminate dishing of copper interconnects.
  277. Li Jianxun,SGX ; Chooi Simon,SGX ; Zhou Mei-Sheng,SGX, Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion.
  278. Chen-Hua Yu TW; Mong-Song Liang TW, Method to form copper interconnects.
  279. Mayer, Steven T.; Alexy, John B.; Feng, Jingbin, Methods and apparatus for airflow and heat management in electroless plating.
  280. Dulkin, Alexander; Rairkar, Asit; Greer, Frank; Pradhan, Anshu A.; Rozbicki, Robert, Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer.
  281. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  282. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  283. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  284. Rozbicki, Robert, Methods and apparatus for resputtering process that improves barrier coverage.
  285. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  286. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  287. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  288. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  289. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  290. Sulfridge, Marc, Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods.
  291. Sulfridge, Marc, Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods.
  292. Sulfridge, Marc, Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods.
  293. Ahn,Kie Y.; Forbes,Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  294. Uglow,Jay E.; Bright,Nicolas J.; Hemker,Dave J.; MacWilliams,Kenneth P.; Benzing,Jeffrey C.; Archer,Timothy M., Methods for making dual-damascene dielectric structures.
  295. Cunningham, James A., Methods of manufacturing copper interconnect systems.
  296. Lin, Chen-Tung; Chang, Chih-Wei; Wu, Chii-Ming; Wang, Mei-Yun; Chuang, Chaing-Ming; Shue, Shau-Lin, Methods of manufacturing metal-silicide features.
  297. Lin, Chen-Tung; Chang, Chih-Wei; Wu, Chii-Ming; Wang, Mei-Yun; Chuang, Chaing-Ming; Shue, Shau-Lin, Methods of manufacturing metal-silicide features.
  298. Zagrebelny, Andrey V.; Carter, Chet E., Methods of patterning platinum-containing material.
  299. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  300. Hiatt, William M.; Kirby, Kyle K., Microelectronic devices and methods for filing vias in microelectronic devices.
  301. Hiatt, William M.; Kirby, Kyle K., Microelectronic devices and methods for filling vias in microelectronic devices.
  302. Parks, Jay S., Microelectronic die including low RC under-layer interconnects.
  303. Parks, Jay S., Microelectronic die including low RC under-layer interconnects.
  304. Ajit P. Paranjpe ; Mehrdad M. Moslehi ; Boris Relja ; Randhir S. Bubber ; Lino A. Velo ; Thomas R. Omstead ; David R. Campbell, Sr. ; David M. Leet ; Sanjay Gopinath, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  305. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Relja, Boris; Bubber, Randhir S.; Velo, Lino A.; Omstead, Thomas R.; Campbell, Sr., David R.; Leet, David M.; Gopinath, Sanjay, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  306. Paranjpe, Ajit P.; Moslehi, Mehrdad M.; Relja, Boris; Bubber, Randhir S.; Velo, Lino A.; Omstead, Thomas R.; Campbell, Sr., David R.; Leet, David M.; Gopinath, Sanjay, Microelectronic interconnect material with adhesion promotion layer and fabrication method.
  307. Chungpaiboonpatana Surasit ; Davidson Craig, Microelectronic interconnect structures and methods for forming the same.
  308. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  309. Clark, Douglas; Oliver, Steven D.; Kirby, Kyle K.; Dando, Ross S., Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces.
  310. Hiatt, William M.; Dando, Ross S., Microfeature workpieces and methods for forming interconnects in microfeature workpieces.
  311. Borthakur, Swarnal, Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods.
  312. Borthakur, Swarnal, Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods.
  313. Borthakur, Swarnal, Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods.
  314. Tuttle, Mark E., Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods.
  315. Tuttle, Mark E., Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods.
  316. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  317. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  318. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  319. Cohen,Uri, Multiple seed layers for interconnects.
  320. Rozbicki, Robert; van Schravendijk, Bart; Mountsier, Thomas; Wu, Wen, Multistep method of depositing metal seed layers.
  321. Rozbicki, Robert; van Schravendijk, Bart; Mountsier, Tom; Wu, Wen, Multistep method of depositing metal seed layers.
  322. Craig, Charles H.; Papp, John E.; Jayasinghe, Dudley; Hines, Lionel G.; Orosa, Dennis, Nanobead releasing medical devices.
  323. Ludwig, Florian N., Nanoshell therapy.
  324. Ludwig, Florian Niklas, Nanoshell therapy.
  325. Ludwig, Florian N.; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells for drug delivery.
  326. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  327. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  328. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  329. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  330. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  331. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  332. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  333. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  334. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  335. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  336. Lee, Teck Kheng, Partitioned through-layer via and associated systems and methods.
  337. Lee, Teck Kheng, Partitioned through-layer via and associated systems and methods.
  338. Lee, Teck Kheng, Partitioned through-layer via and associated systems and methods.
  339. Rozbicki, Robert T.; Powell, Ronald Allan; Klawuhn, Erich; Danek, Michal; Levy, Karl B.; Reid, Jonathan David; Khosla, Mukul; Broadbent, Eliot K., Passivation of copper in dual damascene metalization.
  340. Peter S. Locke ; Kevin S. Petrarca ; Seshadri Subbanna ; Richard P. Volant, Pattern-sensitive electrolytic metal plating.
  341. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  342. Elliott, David J.; Thompson, Allan R.; Whitten, George D.; Camp, Jonathan C.; Krajewski, Mark T., Photocatalytic reactor system for treating flue effluents.
  343. Shue, Shau-Lin; Jang, Syun-Ming, Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing.
  344. Mukherjee, Shyama; Levert, Joseph; DeBear, Donald, Planarizers for spin etch planarization of electronic components.
  345. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  346. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  347. Yabe, Atsushi; Ito, Junichi; Hisumi, Yoshiyuki; Sekiguchi, Junnosuke; Imori, Toru, Plated article having metal thin film formed by electroless plating.
  348. Merricks, David; Goosey, Martin T.; Bains, Narinder, Plating catalysts.
  349. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  350. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  351. Yang, Chih Chao; Wong, Keith Kwong Hon; Yang, Haining, Porous and dense hybrid interconnect structure and method of manufacture.
  352. Lin, Mou-Shiung; Chou, Chiu-Ming; Chou, Chien-Kang, Post passivation interconnection process and structures.
  353. Lin, Mou-Shiung; Chou, Chiu-Ming; Chou, Chien-Kang, Post passivation interconnection structures.
  354. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  355. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  356. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  357. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  358. Mayer, Steven T.; Bhaskaran, Vijay; Patton, Evan E.; Jackson, Robert L.; Reid, Jonathan, Process for electroplating metal into microscopic recessed features.
  359. Mayer, Steven T.; Bhaskaran, Vijay; Patton, Evan E.; Jackson, Robert L.; Reid, Jonathan, Process for electroplating metals into microscopic recessed features.
  360. Yokoyama Takashi,JPX ; Kishimoto Koji,JPX, Process for forming fine wiring.
  361. Brintzinger,Axel; Trovarelli,Octavio, Process for producing metallic interconnects and contact surfaces on electronic components.
  362. Gross Michal Edith ; Lingk Christoph, Process for semiconductor device fabrication having copper interconnects.
  363. Lane, Michael; McFeely, Fenton Read; Murray, Conal; Rosenberg, Robert, Process of forming copper structures.
  364. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  365. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  366. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  367. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  368. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  369. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  370. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  371. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  372. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  373. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  374. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  375. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  376. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  377. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  378. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  379. Liu, Peikang; Ferguson, Scott Wayne; Edwards, Dave N.; Sasaki, Yukihiko, Radio frequency identification device and method.
  380. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  381. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  382. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  383. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  384. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  385. Kailasam, Sridhar; Rozbicki, Robert; Yu, Chentao; Hayden, Douglas, Resputtering process for eliminating dielectric damage.
  386. Huang Ming-Ching,TWX ; Chen Chih-Rong,TWX ; Ho Kuai-Jung,TWX ; Huang Wen-Yuan,TWX ; Yeh Chi-Chin,TWX, Retardation layer for preventing diffusion of metal layer and fabrication method thereof.
  387. Subhash Gupta SG; Mei-Sheng Zhou SG; Simon Chooi SG; Sangki Hong SG, Reversed damascene process for multiple level metal interconnects.
  388. Van Sciver, Jason, Rotatable support elements for stents.
  389. Van Sciver, Jason, Rotatable support elements for stents.
  390. Van Sciver, Jason, Rotatable support elements for stents.
  391. Van Sciver, Jason, Rotatable support elements for stents.
  392. Van Sciver, Jason, Rotatable support elements for stents.
  393. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  394. Elliott,David J.; Harte,Kenneth J.; Shephard,Larry E., Scanning plasma reactor.
  395. Cohen, Uri, Seed layers for metallic interconnects.
  396. Cohen,Uri, Seed layers for metallic interconnects.
  397. Cohen, Uri, Seed layers for metallic interconnects and products.
  398. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  399. Hossainy,Syed F. A.; Mirzaee,Daryush, Selective coating of medical devices.
  400. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  401. Paul R. Besser ; Darrell M. Erb ; Sergey Lopatin, Selective deposition process for passivating top interface of damascene-type Cu interconnect lines.
  402. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  403. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  404. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  405. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  406. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  407. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  408. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  409. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  410. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  411. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  412. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  413. Juliano, Daniel R., Selective resputtering of metal seed layers.
  414. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  415. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  416. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  417. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  418. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  419. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  420. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  421. Aegerter,Brian K.; Dundas,Curt T.; Ritzdorf,Tom L.; Curtis,Gary L.; Jolley,Michael; Peace,Steven L., Selective treatment of microelectric workpiece surfaces.
  422. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  423. Tochterman, Andrew J.; Fox, William J.; Harold, Nathan, Selectively coating luminal surfaces of stents.
  424. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  425. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  426. Harada,Yusuke, Semiconductor device.
  427. Harada,Yusuke, Semiconductor device.
  428. Matsubara, Yoshihisa, Semiconductor device and manufacturing method of the same.
  429. Kunishima,Hiroyuki; Takewaki,Toshiyuki, Semiconductor device and manufacturing method thereof.
  430. Hieda, Katsuhiko, Semiconductor device and method for manufacturing the same.
  431. Iwasaki, Tomio; Miura, Hideo, Semiconductor device and method for producing the same.
  432. Jang, Sung Ho, Semiconductor device and method of forming metal interconnection layer thereof.
  433. Usami,Tatsuya, Semiconductor device and method of manufacturing the same.
  434. Ngo Minh Van ; Wang Fei, Semiconductor device comprising copper interconnects with reduced in-line diffusion.
  435. Haneda, Masaki; Shimizu, Noriyoshi; Sunayama, Michie, Semiconductor device fabrication method.
  436. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  437. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  438. Yusuke Harada JP, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections.
  439. Harada, Yusuke, Semiconductor device having damascene interconnection structure that prevents void formation between interconnections having transparent dielectric substrate.
  440. Kunishima, Hiroyuki; Takewaki, Toshiyuki, Semiconductor device including dissimilar element-diffused metal layer and manufacturing method thereof.
  441. Shimizu Noriyoshi,JPX ; Kitada Hideki,JPX ; Ohtsuka Nobuyuki,JPX, Semiconductor device with copper wiring and semiconductor device manufacturing method.
  442. Iwasaki, Tomio; Miura, Hideo; Asano, Isamu, Semiconductor device with multilayer conductive structure formed on a semiconductor substrate.
  443. Iwasaki,Tomio; Miura,Hideo; Asano,Isamu, Semiconductor device with multilayer conductive structure formed on a semiconductor substrate.
  444. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  445. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  446. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  447. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  448. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  449. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  450. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  451. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  452. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  453. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  454. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  455. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  456. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  457. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  458. Yu, Chen-Hua; Yeh, Chen-Nan; Yao, Chih-Hsiang; Wan, Wen-Kai; Cheng, Jye-Yen, Stacked contact with low aspect ratio.
  459. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  460. Fox,Jason; Harold,Nathan; Templin,Barry; Tochterman,Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  461. Pacetti,Stephen D.; Villareal,Plaridel K., Stent mounting assembly and a method of using the same to coat a stent.
  462. Farrar, Paul A., Structures and methods to enhance copper metallization.
  463. Farrar, Paul A., Structures and methods to enhance copper metallization.
  464. Farrar, Paul A., Structures and methods to enhance copper metallization.
  465. Farrar,Paul A., Structures and methods to enhance copper metallization.
  466. Farrar,Paul A., Structures and methods to enhance copper metallization.
  467. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  468. Carole D. Graas DE; Robert H. Havemann, Surface modified interconnects.
  469. Graas, Carole D.; Havemann, Robert H., Surface modified interconnects.
  470. Wan, Wen-Kai; Lin, Yih-Hsiung; Lei, Ming-Ta; Perng, Baw-Ching; Lin, Cheng-Chung; Lin, Chia-Hui; Liu, Ai-Sen, Surface treatment of metal interconnect lines.
  471. Chen, Hsien-Wei; Tsai, Hao-Yi; Lii, Mirng-Ji; Yu, Chen-Hua; Yu, Tsung-Yuan, System and method for an improved interconnect structure.
  472. Chen, Hsien-Wei; Tsai, Hao-Yi; Lii, Mirng-Ji; Yu, Chen-Hua; Yu, Tsung-Yuan, System and method for an improved interconnect structure.
  473. Chen, Hsien-Wei; Tsai, Hao-Yi; Lii, Mirng-Ji; Yu, Chen-Hua; Yu, Tsung-Yuan, System and method for an improved interconnect structure.
  474. Chen, Yung Ming; Ho, Henjen, System and method for coating an implantable medical device.
  475. Watkins, Charles M.; Hiatt, William M., System and methods for forming apertures in microfeature workpieces.
  476. Ivanov, Igor C., Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes.
  477. Watkins, Charles M.; Hiatt, William M., Systems and methods for forming apertures in microfeature workpieces.
  478. Watkins, Charles M.; Hiatt, William M., Systems and methods for forming apertures in microfeature workpieces.
  479. Watkins, Charles M.; Hiatt, William M., Systems and methods for forming apertures in microfeature workpieces.
  480. Cheng, Yu-Ting; Goma, Sherif A.; Magerlein, John Harold; Purushothaman, Sampath; Sambucetti, Carlos Juan; Walker, George Frederick, Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer.
  481. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  482. Akram, Salman; Watkins, Charles M.; Hiatt, William M.; Hembree, David R.; Wark, James M.; Farnworth, Warren M.; Tuttle, Mark E.; Rigg, Sidney B.; Oliver, Steven D.; Kirby, Kyle K.; Wood, Alan G.; Velicky, Lu, Through-wafer interconnects for photoimager and memory wafers.
  483. Akram, Salman; Watkins, Charles M.; Hiatt, William M.; Hembree, David R.; Wark, James M.; Farnworth, Warren M.; Tuttle, Mark E.; Rigg, Sidney B.; Oliver, Steven D.; Kirby, Kyle K.; Wood, Alan G.; Velicky, Lu, Through-wafer interconnects for photoimager and memory wafers.
  484. Akram, Salman; Watkins, Charles M.; Hiatt, William M.; Hembree, David R.; Wark, James M.; Farnworth, Warren M.; Tuttle, Mark E.; Rigg, Sidney B.; Oliver, Steven D.; Kirby, Kyle K.; Wood, Alan G.; Velicky, Lu, Through-wafer interconnects for photoimager and memory wafers.
  485. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  486. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  487. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  488. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  489. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  490. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  491. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  492. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  493. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  494. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  495. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  496. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  497. You, Lu; Woo, Christy; Wang, Pin Chin Connie, Use of ta/tan for preventing copper contamination of low-k dielectric layers.
  498. Kinder, Ronald L.; Pradhan, Anshu A., Use of ultra-high magnetic fields in resputter and plasma etching.
  499. Woo, Christy Mei-Chu; Wang, Connie Pin-Chin; Avanzino, Steve C., Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability.
  500. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  501. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  502. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  503. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  504. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  505. Ranjan, Manish; Ghongadi, Shantinath; Wilmot, Frederick Dean; Hill, Douglas; Buckalew, Bryan L., Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath.
  506. Ranjan, Manish; Ghongadi, Shantinath; Wilmot, Frederick Dean; Hill, Douglas; Buckalew, Bryan L., Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath.
  507. Hiroshi Yamamoto JP, Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로