$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Copper chemical-mechanical-polishing (CMP) dishing 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/441
출원번호 US-0249262 (1999-02-12)
발명자 / 주소
  • Liu Chung-Shi,TWX
  • Chang Chung-Long,TWX
  • Yu Chen-Hua,TWX
출원인 / 주소
  • Taiwan Semiconductor Manufacturing Company, TWX
대리인 / 주소
    Saile
인용정보 피인용 횟수 : 199  인용 특허 : 6

초록

A method is disclosed for forming inlaid copper interconnects in an insulating layer without the normally expected dishing that occurs after chemical-mechanical polishing of the excess copper. This is accomplished by forming a conformal blanket barrier layer over a substrate including a composite gr

대표청구항

[ What is claimed is:] [1.] A method of improving chemical-mechanical polishing (CMP) dishing comprising the steps of:providing a semiconductor substrate having a substructure comprising devices formed in said substrate and a metal layer formed thereon;forming a lower level dielectric (LLD) layer ov

이 특허에 인용된 특허 (6)

  1. Ting Chiu H. (Saratoga CA) Paunovic Milan (Port Washington NY), Electroless deposition for IC fabrication.
  2. Wollesen Donald L. (Saratoga CA), High conductivity interconnection line.
  3. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  4. Schinella Richard ; Sanganeria Mahesh K., Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate.
  5. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  6. Dennison Charles H. ; Doan Trung T., Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein.

이 특허를 인용한 특허 (199)

  1. Charneski Lawrence J. ; Nguyen Tuc ; Bhandari Gautam, Adhesion promotion method for electro-chemical copper metallization in IC applications.
  2. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  3. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  4. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  5. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  10. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  11. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  12. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  13. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  14. Lee William Wei-Yen, Borderless contacts for dual-damascene interconnect process.
  15. Choi, Jihong; Bolom, Tibor, CMP-first damascene process scheme.
  16. Lubomirsky, Dmitry, Chamber with flow-through source.
  17. Lubomirsky, Dmitry, Chamber with flow-through source.
  18. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  19. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  20. Pasqualoni, Anthony Mark; Mahulikar, Deepak; LaFollette, Larry A.; Jenkins, Richard J., Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers.
  21. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  22. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  23. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  24. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  25. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  26. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  27. Ling-Hsu Tseng TW; Der-Yuan Wu TW, Copper interconnections for metal-insulator-metal capacitor in mixed mode signal process.
  28. Choi,Chee Hong, Copper line of semiconductor device and method for forming the same.
  29. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  30. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  31. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  32. Brown Dirk, Dielectric adhesion enhancement in damascene process for semiconductors.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  35. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  36. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  37. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  38. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  39. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  40. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  41. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  42. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  43. Hu Chu-Wei,TWX ; Weng Jiue Wen,TWX ; Shiue Ruey Yun,TWX, Dual damascene process flow for a deep sub-micron technology.
  44. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  45. Zhang, John H.; Yang, Heng, Dummy structures having a golden ratio and method for forming the same.
  46. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  47. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  48. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  49. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  50. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  51. Ying-Ho Chen TW; Syun-Ming Jang TW; Jih-Churng Twu TW; Tsu Shih TW, Elimination of electrochemical deposition copper line damage for damascene processing.
  52. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  53. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  54. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  55. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  56. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  57. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  58. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  59. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  60. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  61. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  62. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  63. Chen, Dian-Hau; Ma, Ching-Tien; Lee, Hsiang-Tan, IMD film composition for dual damascene process.
  64. Smith Preston ; Choi Chi-hing, In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application.
  65. Smith, Preston; Choi, Chi-hing, In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application.
  66. Smith, Preston; Choi, Chi-hing, In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application.
  67. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  68. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  69. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  72. Wang,Yuchun, Integrated polishing and electroless deposition.
  73. Chen Dian-Hau,TWX ; Ma Ching-Tien,TWX ; Lee Hsiang-Tan,TWX, Inter-metal dielectric film composition for dual damascene process.
  74. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  75. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  76. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  77. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  78. Kloster,Grant; Rockford,Lee; Leu,Jihperng, Low-k dielectric film with good mechanical strength that varies in local porosity depending on location on substrate--therein.
  79. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  80. Liu,Chi Wen; Tsao,Jung Chih; Chang,Shih Tzung; Wang,Ying Lang; Chen,Kei Wei, Metal-filled openings for submicron devices and methods of manufacture thereof.
  81. Parikh,Suketu; Cheung,Robin, Method and apparatus for providing intra-tool monitoring and control.
  82. Hsu, Wei-Yung; Chen, Liang-Yuh; Morad, Ratson; Carl, Daniel A., Method for dishing reduction and feature passivation in polishing processes.
  83. Cheung Robin ; Lopatin Sergey, Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure.
  84. Son,Hong Seong; Hah,Sang Rok; Kim,Il Goo; Oh,Jun Hwan, Method for filling a hole with a metal.
  85. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  86. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  87. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX, Method for selective growth of Cu.sub.3 Ge or Cu.sub.5 Si for passivation of damascene copper structures and device manufactured thereby.
  88. Yu Allen S. ; Steffan Paul J. ; Scholer Thomas C., Method of defining copper seed layer for selective electroless plating processing.
  89. Yokogawa, Shinji, Method of designing interconnects.
  90. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  91. Lin, Bih-Tiao, Method of fabricating copper damascene.
  92. Stephen Keetai Park, Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer.
  93. Ko, Jungmin, Method of fin patterning.
  94. Shyh-Dar Lee TW; Chung-I Chang TW, Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer.
  95. Sung Gyu Pyo KR, Method of forming a metal wiring in a semiconductor device.
  96. Lin Ching-Fu,TWX, Method of forming a tungsten plug.
  97. Michael J. Hart ; James Karp, Method of improved bondability when using fluorinated silicon glass.
  98. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  99. Liu Chung-Shi,TWX, Method of preparing CU interconnect lines.
  100. Cherian, Isaac K.; Feeney, Paul M.; Moeggenborg, Kevin J., Method of reducing in-trench smearing during polishing.
  101. Cheung, Robin; Dordi, Yezdi; Tseng, Jennifer, Method of treating a substrate.
  102. Chen, Ying-Ho; Chiou, Wen-Chih; Shih, Tsu; Jang, Syun-Ming, Method to eliminate post-CMP copper flake defect.
  103. Tsao Alwin J. ; Gillespie Paul M., Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications.
  104. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  105. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  106. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  107. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  108. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  109. Mathieu,Gaetan L.; Khandros,Igor Y.; Reynolds,Carl V., Methods for making plated through holes usable as interconnection wire or probe attachments.
  110. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  111. Lai Jane-Bai,TWX ; Liu Chung-Shi,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX ; Chang Chung-Long,TWX ; Wang Hui-Ling,TWX ; Wu Szu-An,TWX ; Cheng Wen-Kung,TWX ; Tsan Chun-Ching,TWX ; Wang Ying-Lang,TWX, Methods to improve copper-fluorinated silica glass interconnects.
  112. Catabay, Wilbur G.; Wang, Zhihai; Li, Ping, Multi-step process for forming a barrier film for use in copper layer formation.
  113. Catabay,Wilbur G.; Wang,Zhihai; Li,Ping, Multi-step process for forming a barrier film for use in copper layer formation.
  114. Catabay,Wilbur G.; Wang,Zhihai; Li,Ping, Multi-step process for forming a barrier film for use in copper layer formation.
  115. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  116. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  117. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  118. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  119. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  120. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  121. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  122. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  123. Apelgren Eric M. ; Smith Jonathan B. ; Besser Paul R., Photoresist removal using a polishing tool.
  124. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  125. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  126. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  127. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  128. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  129. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  130. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  131. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  132. Chao-Cheng Chen TW; Jen-Cheng Liu TW; Jyu-Horng Shieh TW; Chia-Shiung Tsai TW; Bor-Shyang Lin TW, Process for improving copper fill integrity.
  133. Cotte, John Michael; McCullough, Kenneth John; Moreau, Wayne Martin; Pope, Keith R.; Simons, John P.; Taft, Charles J.; Volant, Richard P., Process of providing a semiconductor device with electrical interconnection capability.
  134. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  135. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  136. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  137. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  138. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  139. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  140. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  141. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  142. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  143. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  144. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  145. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  146. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  147. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  148. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  149. Weng Chang TW; Tien-I Bao TW; Syun-Ming Jang TW, Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials.
  150. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  151. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  152. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  153. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  154. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  155. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  156. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  157. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  158. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  159. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  160. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  161. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  162. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  163. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  164. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  165. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  166. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  167. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  168. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  169. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  170. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  171. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  172. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  173. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  174. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  175. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  176. Sashida, Naoya, Semiconductor device and method of manufacturing the same.
  177. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  178. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  179. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  180. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  181. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  182. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  183. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  184. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  185. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  186. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  187. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  188. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  189. Soo Choi Pheng,MYX ; Loh Wye Boon,MYX ; Chan Lap, Simplified dual damascene process utilizing PPMSO as an insulator layer.
  190. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  191. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  192. Ziger David ; Brugge Hunter, System and method for residue entrapment utilizing a polish and sacrificial fill for semiconductor fabrication.
  193. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  194. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  195. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  196. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  197. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  198. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  199. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로