$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of manufacturing a semiconductor device which includes forming a dummy gate

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/336
출원번호 US-0105956 (1998-06-29)
우선권정보 JP-0366810 (1997-12-26)
발명자 / 주소
  • Inumiya Seiji,JPX
  • Saito Tomohiro,JPX
  • Yagishita Atsushi,JPX
  • Hieda Katsuhiko,JPX
  • Iinuma Toshihiko,JPX
출원인 / 주소
  • Kabushiki Kaisha Toshiba, JPX
대리인 / 주소
    Finnegan, Henderson, Farabow, Garrett & Dunner, L.L.P.
인용정보 피인용 횟수 : 172  인용 특허 : 4

초록

A method of manufacturing a semiconductor device comprises the steps of forming a first film and a second film on a semiconductor substrate, selectively removing the second film, the first film and a top portion of the semiconductor substrate to form a first groove, burying a first insulator film in

대표청구항

[ We claim:] [1.] A method of manufacturing a semiconductor device comprising the steps of:forming a first film and a second film on a semiconductor substrate;selectively removing said second film, said first film and a top portion of said semiconductor substrate to form a first groove;burying a fir

이 특허에 인용된 특허 (4)

  1. Krivokapic Zoran, Adaptively controlled, self-aligned, short channel device and method for manufacturing same.
  2. Lund Clarence A. (Phoenix AZ) Hamzik Richard R. (Changler AZ), Method for providing contact separation in silicided devices using false gate.
  3. Stolmeijer Andre ; Omid-Zohoor Farrokh, Narrow width trenches for field isolation in integrated circuits.
  4. Kim Jae K. (Kyoung ki-do KRX), Semiconductor device provided with trench element isolation film and method for fabricating the same.

이 특허를 인용한 특허 (172)

  1. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  2. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  3. Abbott, Todd R., Conductive structure for microelectronic devices and methods of fabricating such structures.
  4. Abbott,Todd R., Conductive structure for microelectronic devices and methods of fabricating such structures.
  5. Qi Xiang ; Paul R. Besser ; Matthew S. Buynoski ; John C. Foster ; Paul L. King ; Eric N. Paton, Damascene NiSi metal gate high-k transistor.
  6. Hanafi, Hussein I.; Brown, Jeffrey J.; Natzle, Wesley C., Damascene double-gate MOSFET with vertical channel regions.
  7. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Damascene gate having protected shorting regions.
  8. Dokumaci, Omer H.; Doris, Bruce B.; Gluschenkov, Oleg; Mandelman, Jack A.; Radens, Carl J., Damascene method for improved MOS transistor.
  9. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  10. Tuan, Hsing Ti; Leung, Chung Wai, Dummy structures that protect circuit elements during polishing.
  11. Tuan, Hsing Ti; Leung, Chung Wai, Dummy structures that protect circuit elements during polishing.
  12. Xiang Qi ; Lin Ming-Ren, Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant.
  13. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  14. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  15. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  16. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  17. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  18. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  19. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  20. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  21. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  22. Regan, Dean C.; Shinohara, Keisuke; Corrion, Andrea; Milosavljevic, Ivan; Micovic, Miroslav; Willadsen, Peter J.; Butler, Colleen M.; Bracamontes, Hector L.; Holden, Bruce T.; Chang, David T., Gate metallization methods for self-aligned sidewall gate GaN HEMT.
  23. Chiu, Yuan-Hung; Tsai, Ming-Huan; Chen, Fang-Cheng; Tao, Hun-Jan, Gate structure and method of forming the gate dielectric with mini-spacer.
  24. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  25. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  26. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  27. Dong, Yemin; Verma, Purakh Raj; Zou, Xin; Cheng, Chao; Chu, Shao-fu Sanford, Integrated circuit system with high voltage transistor and method of manufacture thereof.
  28. Mukherjee, Srijit; Wiegand, Christopher J.; Weeks, Tyler J.; Liu, Mark Y.; Hattendorf, Michael L., Integrated circuits with recessed gate electrodes.
  29. Mukherjee, Srijit; Wiegand, Christopher J.; Weeks, Tyler J.; Liu, Mark Y.; Hattendorf, Michael L., Integrated circuits with selective gate electrode recess.
  30. Mukherjee, Srijit; Wiegand, Christopher J.; Weeks, Tyler J; Liu, Mark Y.; Hattendorf, Michael L., Integrated circuits with selective gate electrode recess.
  31. Bentley, Steven J.; Hargrove, Michael J.; Chen, Chia-Yu; Jung, Ryan O.; Kanakasabapathy, Sivanandha K.; Yamashita, Tenko, Junction overlap control in a semiconductor device using a sacrificial spacer layer.
  32. Hsu, Sheng Teng; Zhang, Fengyan; Li, Tingkai, MFOS memory transistor & method of fabricating same.
  33. Henson, Kirklen; Rooyackers, Rita; Vanhaelemeersch, Serge; Badenes, Goncal, MIS transistors with a metal gate and high-k dielectric and method of forming.
  34. Tae Kyun Kim KR; Se Aug Jang KR; In Seok Yeo KR, MOSFET device fabrication method capable of allowing application of self-aligned contact process while maintaining metal gate to have uniform thickness.
  35. Chung, Hung-Chin; Tsai, Shiang-Rung; Lee, Hsien-Ming; Hung, Cheng-Lung; Wei, Hsiao-Kuan, Metal gate structure with multi-layer composition.
  36. Besser, Paul R.; Xiang, Qi; Buynoski, Matthew S., Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process.
  37. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  38. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  39. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  40. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  41. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  42. Tateshita, Yasushi, Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process.
  43. Kim, Tae Kyun, Method for fabricating a MOSFET device.
  44. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  45. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  46. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  47. Huang, Jenn Ming, Method for forming a metal gate integrated with a source and drain salicide process with oxynitride spacers.
  48. Chin-Yang Chen TW, Method for forming gate electrode by damascene process.
  49. Yu Bin, Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget.
  50. Joly,Jean Pierre, Method for making a transistor on a SiGe/SOI substrate.
  51. Ito,Takayuki; Suguro,Kyoichi, Method for manufacturing a semiconductor device.
  52. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  53. Wada, Takayuki; Terahara, Masanori; Oh, Junji, Method for manufacturing semiconductor device.
  54. Soss, Steven R.; Knorr, Andreas, Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration.
  55. Lou Chine-Gie,TWX, Method of fabricating integrated circuits.
  56. Kim, Kyu-Tae; Hong, Jong-Seo; Kim, Tae-Han, Method of fabricating semiconductor device.
  57. Abbott,Todd R.; Wang,Zhongze; Trivedi,Jigish D.; Cho,Chih Chen, Method of forming a field effect transistor.
  58. Tang, Sanh D.; Violette, Michael P.; Burke, Robert, Method of forming a field effect transistor.
  59. Tang,Sanh D.; Violette,Michael P.; Burke,Robert, Method of forming a field effect transistor.
  60. Tang,Sanh D.; Violette,Michael P.; Burke,Robert, Method of forming a field effect transistor.
  61. Tang, Sanh D.; Violette, Michael P.; Burke, Robert, Method of forming a field effect transistor having source/drain material over insulative material.
  62. Abbott,Todd R.; Wang,Zhongze; Trivedi,Jigish D.; Cho,Chih Chen, Method of forming a field effect transistor with halo implant regions.
  63. Tang, Sanh D.; Violette, Michael P.; Burke, Robert, Method of forming field effect transistors.
  64. Maszara, Witold; Miller, Robert J., Method of manufacturing a finned semiconductor device structure.
  65. Lee Jung Ho,KRX, Method of manufacturing a semiconductor device.
  66. Saito,Tomohiro, Method of manufacturing a semiconductor device including forming a pattern, an interlayer insulation film, exposing the patterning and flattening.
  67. Kyoichi Suguro JP; Kouji Matsuo JP; Atsushi Murakoshi JP; Yasuhiko Sato JP; Hiromi Niiyama JP, Method of manufacturing a semiconductor device using a polymer film pattern.
  68. Sato, Motoyuki, Method of manufacturing nonvolatile semiconductor memory device.
  69. Katsuomi Shiozawa JP; Takashi Kuroi JP; Yasuyoshi Itoh JP; Katsuyuki Horita JP, Method of manufacturing semiconductor device.
  70. Sugihara, Kohei; Sayama, Hirokazu, Method of manufacturing semiconductor device.
  71. Sugihara,Kohei; Sayama,Hirokazu, Method of manufacturing semiconductor device.
  72. Yagishita, Atsushi; Matsuo, Kouji; Akasaka, Yasushi; Suguro, Kyoichi; Tsunashima, Yoshitaka, Method of manufacturing semiconductor device using dummy gate wiring layer.
  73. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  74. Pas, Michael F., Methods for forming gate electrodes for integrated circuits.
  75. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  76. Hu, Yongjun Jeff, Methods of fabricating a transistor gate including cobalt silicide.
  77. Regan, Dean C.; Shinohara, Keisuke; Tang, Yan; Micovic, Miroslav, Methods of fabricating self-aligned FETS using multiple sidewall spacers.
  78. Tang,Sanh D.; Violette,Michael P.; Burke,Robert, Methods of forming a field effect transistor having source/drain material over insulative material.
  79. Tang,Sanh D.; Violette,Michael P.; Burke,Robert, Methods of forming field effect transistor gate lines.
  80. Oh, Chang-Woo; Park, Dong-Gun; Choe, Jeong-Dong; Lee, Chang-Sub, Methods of forming field effect transistors including raised source/drain regions.
  81. Hanson, Robert J.; Tang, Sanh D., Methods of forming field effect transistors on substrates.
  82. Hanson, Robert J.; Tang, Sanh D., Methods of forming field effect transistors on substrates.
  83. Hanson, Robert J.; Tang, Sanh D., Methods of forming field effect transistors on substrates.
  84. Kim, Young Pil; Parekh, Kunal R., Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates.
  85. Xie, Ruilong; Cai, Xiuyu; Wei, Andy C.; Zhang, Qi; Jacob, Ajey Poovannummoottil; Hargrove, Michael, Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices.
  86. Gonzalez, Fernando, Methods of forming lines of capacitorless one transistor DRAM cells, methods of patterning substrates, and methods of forming two conductive lines.
  87. Uh, Hyung-Soo; Lee, Kyu-Hynn; Chung, Tae-Young; Kim, Ki-Nam; Hwang, Yoo-Sang, Methods of forming self-aligned contact pads using a damascene gate process.
  88. Tang, Sanh D.; Haller, Gordon A.; Doyle, Daniel H., Methods of making a semiconductor memory device.
  89. Koh,Kwan Ju, Methods of manufacturing MOSFET devices.
  90. Park, Cheolsoo, Methods of manufacturing transistors using dummy gate patterns.
  91. Shinohara, Keisuke; Regan, Dean C., Monolithically integrated self-aligned GaN-HEMTs and Schottky diodes and method of fabricating the same.
  92. Shinohara, Keisuke; Regan, Dean C., Monolithically integrated self-aligned GaN-HEMTs and schottky diodes and method of fabricating the same.
  93. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  94. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  95. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  96. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  97. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  98. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  99. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  100. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  101. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  102. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  103. Leung, Chung Wai; Hsiao, Chia-Shun; Chan, Vei-Han, Nonvolatile memory structures and fabrication methods.
  104. Leung, Chung Wai; Hsiao, Chia-Shun; Chan, Vei-Han, Nonvolatile memory structures and fabrication methods.
  105. Leung, Chung Wai; Hsiao, Chia-Shun; Chan, Vei-Han, Nonvolatile memory structures and fabrication methods.
  106. Tuan, Hsing Ti; Li, Li-Chun, Nonvolatile memory structures and fabrication methods.
  107. Tuan, Hsing Ti; Li, Li-Chun, Nonvolatile memory structures and fabrication methods.
  108. Tuan, Hsing Ti; Li, Li-Chun; Chang, Thomas Tong-Long, Nonvolatile memory structures and fabrication methods.
  109. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  110. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  111. Trentzsch, Martin; Kammler, Thorsten; Stephan, Rolf, Reducing the creation of charge traps at gate dielectrics in MOS transistors by performing a hydrogen treatment.
  112. Jang, Linus; Kanakasabapathy, Sivananda K.; Mehta, Sanjay C.; Seo, Soon-Cheon; Sreenivasan, Raghavasimhan, Replacement metal gate including dielectric gate material.
  113. Burns Stuart M. ; Hanafi Hussein I., Sacrificial silicon sidewall for damascene gate formation.
  114. Bohr, Mark T.; Ghani, Tahir; Rahhai-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  115. Bohr, Mark T.; Ghani, Tahir; Rahhal-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  116. Bohr, Mark T.; Ghani, Tahir; Rahhal-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  117. Bohr, Mark T.; Ghani, Tahir; Rahhal-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  118. Bohr, Mark T.; Ghani, Tahir; Rahhal-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  119. Bohr, Mark T.; Ghani, Tahir; Rahhal-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  120. Bohr, Mark T; Ghani, Tahir; Rahhai-Orabi, Nadia M.; Joshi, Subhash M.; Steigerwald, Joseph M.; Klaus, Jason W.; Hwang, Jack; Mackiewicz, Ryan, Self-aligned contacts.
  121. Chang, Peter L. D.; Doyle, Brian S., Self-aligned contacts for transistors.
  122. Kiyotaka Miyano JP; Ichiro Mizushima JP; Yoshitaka Tsunashima JP; Tomohiro Saito JP, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  123. Miyano Kiyotaka,JPX ; Mizushima Ichiro,JPX ; Tsunashima Yoshitaka,JPX ; Saito Tomohiro,JPX, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  124. Tang, Sanh D.; Haller, Gordon A.; Brown, Kris K.; Allen, III, Tuman Earl, Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors.
  125. Abbott,Todd R., Semiconductor damascene trench and methods thereof.
  126. Abbott,Todd R., Semiconductor damascene trench and methods thereof.
  127. Yagishita, Atsushi; Saito, Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  128. Yagishita,Atsushi; Saito,Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  129. Yagishita,Atsushi; Saito,Tomohiro, Semiconductor device and manufacturing method of semiconductor device.
  130. Yamamoto, Yoshiki; Makiyama, Hideki; Iwamatsu, Toshiaki; Tsunomura, Takaaki, Semiconductor device and manufacturing method of the same.
  131. Iwata, Hiroshi; Kakimoto, Seizo; Nakano, Masayuki; Adachi, Kouichiro, Semiconductor device and method for producing the same.
  132. Sasaki,Toshiyuki, Semiconductor device and method of fabricating the same.
  133. Joerg Vollrath ; Arthur F. O'Donnell, Semiconductor device and method of increasing channel length to eliminate short channel effects of corner devices.
  134. Suguro, Kyoichi, Semiconductor device and method of manufacture thereof.
  135. Moriwaki Masaru,JPX ; Yamada Takayuki,JPX, Semiconductor device and method of manufacturing the same.
  136. Moriwaki, Masaru; Yamada, Takayuki, Semiconductor device and method of manufacturing the same.
  137. Azuma, Atsushi; Matsuda, Satoshi, Semiconductor device and method of manufacturing the same including T-shaped gate.
  138. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  139. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  140. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  141. Yagishita,Atsushi, Semiconductor device having a Schottky source/drain transistor.
  142. Torii, Kazuyoshi; Tsuchiya, Ryuta; Horiuchi, Masatada; Onai, Takahiro, Semiconductor device having a replacement gate type field effect transistor and its manufacturing method.
  143. Yagishita,Atsushi, Semiconductor device having a schottky source/drain transistor.
  144. Saito, Tomohiro, Semiconductor device having low resistivity source and drain electrodes.
  145. Hwang,Sung Wook; Kang,Chang Jin; Chi,Kyeong Koo; Chung,Sung Hoon, Semiconductor device including a metal gate electrode formed in a trench and method of forming thereof.
  146. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  147. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  148. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  149. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  150. Yagishita, Atsushi; Nakajima, Kazuaki, Semiconductor device with a disposable gate and method of manufacturing the same.
  151. Kakamu,Katsumi; Takao,Yoshihiro, Semiconductor device with copper wirings having improved negative bias temperature instability (NBTI).
  152. Gardner Mark I. ; Gilmer Mark C., Semiconductor devices comprised of one or more epitaxial layers.
  153. Qi Xiang ; Paul R. Besser ; Matthew Buynoski ; John C. Foster ; Paul L. King ; Eric N. Paton, Silicide gate transistors.
  154. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  155. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  156. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  157. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  158. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  159. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  160. Tang, Yan; Shinohara, Keisuke; Regan, Dean C.; Fung, Helen Hor Ka; Micovic, Miroslav, T-gate field effect transistor with non-linear channel layer and/or gate foot face.
  161. Ho, Park Jeong, Transistor and method for manufacturing thereof.
  162. Gonzalez, Fernando, Transistor structures and integrated circuitry comprising an array of transistor structures.
  163. Rachmady, Willy; Ramachandrarao, Vijay; Golonzka, Oleg; Fajardo, Arnel M., Transistor, method of manufacturing same, etchant for use during manufacture of same, and system containing same.
  164. Tang, Sanh D.; Haller, Gordon; Brown, Kris K.; Allen, III, Tuman Earl, Transistors.
  165. Hu, Yongjun Jeff, Transistors, semiconductor devices, and electronic devices including transistor gates with conductive elements including cobalt silicide.
  166. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  167. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  168. Chan Kevin K. ; Jones Erin C. ; Solomon Paul M., Two-step MOSFET gate formation for high-density devices.
  169. Chang,Jen Chieh; Chung,Yi Fu; Sun,Pei Feng, Wafer and the manufacturing and reclaiming methods thereof.
  170. Takayama, Toru; Sato, Keiji; Yamazaki, Shunpei, Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof.
  171. Takayama, Toru; Sato, Keiji; Yamazaki, Shunpei, Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof.
  172. Takayama, Toru; Sato, Keiji; Yamazaki, Shunpei, Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트