$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Electroless deposition of metal films with spray processor 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05C-005/00
출원번호 US-0768447 (1996-12-18)
발명자 / 주소
  • Shacham-Diamand Yosi
  • Nguyen Vinh
  • Dubin Valery
출원인 / 주소
  • FSI International, Inc.
대리인 / 주소
    Vidas, Arrett & Steinkraus
인용정보 피인용 횟수 : 268  인용 특허 : 9

초록

Electroless plating of very thin metal films, such as copper, is accomplished with a spray processor. Atomized droplets or a continuous stream of an electroless plating solution are sprayed on a substrate. The electroless plating solution may be prepared by mixing a reducing solution and a metal sto

대표청구항

[ What is claimed is:] [1.] An apparatus for deposition of a metal film onto a substrate, the apparatus comprising:a) a first reservoir containing a metal stock solution comprising a solution of the metal to be deposited;b) a second reservoir containing a reducing solution; the metal stock solution

이 특허에 인용된 특허 (9)

  1. Blackwood Robert S. (Chanhassen MN), Applying photoresist onto silicon wafers.
  2. Alpaugh Warren A. (Chenango Forks NY) Amelio William J. (Binghamton NY) Markovich Voya (Endwell NY) Sambucetti Carlos J. (Croton-on-Hudson NY), Deposition of copper from electroless plating compositions.
  3. Kumasaka Osamu (Yamanashi JPX) Yamaoka Nobuki (Yamanashi JPX), Electroless plating method and apparatus.
  4. Burkman Don C. (Excelsior MN), Method of apparatus for applying chemicals to substrates in an acid processing system.
  5. Hughes Rowan (Bedford OH) Paunovic Milan (Port Washington NY) Zeblisky Rudolph J. (Hauppauge NY), Method of consistently producing a copper deposit on a substrate by electroless deposition which deposit is essentially.
  6. Sawyer Thomas F. (Charlton NY), Method of forming dual alloy disks.
  7. Coombs Jeffrey (West Glamorgan GBX) Leatham Alan (Swansea GBX), Production of metal spray deposits.
  8. Burkman Don C. (Excelsior MN) Schumacher David D. (Plymouth MN) Peterson Charlie A. (Waconia MN), Rinsing in acid processing of substrates.
  9. Elftmann Joel A. (Minneapolis MN) Blackwood Robert S. (Chanhassen MN), Substrate stripping and cleaning apparatus.

이 특허를 인용한 특허 (268)

  1. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S., Air filtration device.
  2. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S., Air filtration device.
  3. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S.; Francis, Ryan L., Air filtration device.
  4. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S.; Francis, Ryan L., Air filtration device.
  5. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S.; Francis, Ryan L., Air filtration device.
  6. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J.; Belton, Antonio J., Air filtration device filter pin.
  7. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  8. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  9. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  10. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  11. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  12. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  13. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  14. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  15. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  16. Ivanov, Igor C.; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  17. Ivanov, Igor C.; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  18. Ivanov, Igor; Zhang, Jonathan Weiguo; Kolics, Artur, Apparatus and method for electroless deposition of materials on semiconductor substrates.
  19. Dubin, Valery M.; Caillouette, Vincent R.; Thomas, Christopher D.; Cheng, Chin-Chang, Apparatus and method for electroless spray deposition.
  20. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  21. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  22. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  23. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  24. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  25. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  26. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  27. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  28. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  29. Collins, Jimmy D.; Cooper, Samuel A.; Eppes, James M.; Rose, Alan D.; Mekias, Kader, Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  30. Collins, Jimmy D.; Cooper, Samuel A.; Eppes, James M.; Rose, Alan D.; Mekias, Kader, Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  31. Collins, Jimmy D.; Cooper, Samuel A.; Eppes, James M.; Rose, Alan D.; Mekias, Kader, Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  32. Collins, Jimmy D.; DeKraker, David P.; Gast, Tracy A.; Rose, Alan D., Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  33. Collins, Jimmy D.; DeKraker, David; Gast, Tracy A.; Rose, Alan D., Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  34. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  35. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  36. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  37. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  38. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  39. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  40. Lubomirsky, Dmitry, Chamber with flow-through source.
  41. Lubomirsky, Dmitry, Chamber with flow-through source.
  42. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  43. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  44. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  45. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  46. Rose, Alan D.; Carr, Darian D.; Eppes, James M.; Hanson, Stephen G., Compact duct system incorporating moveable and nestable baffles for use in tools used to process microelectronic workpieces with one or more treatment fluids.
  47. Sampsell, Jeffrey B., Compatible MEMS switch architecture.
  48. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  49. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  50. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  51. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  52. Farrar, Paul A., Copper metallurgy in integrated circuits.
  53. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  54. Panayotis Andricacos ; James E. Fluegel ; John G. Gaudiello ; Ronald D. Goldblatt ; Sandra G. Malhotra ; Milan Paunovic, Copper seed layer repair technique using electroless touch-up.
  55. McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S., Cover for an air filtration device.
  56. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  57. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  58. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  59. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  60. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  61. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  62. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  63. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  64. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  65. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  66. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  67. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  68. Gerhardinger,Peter F.; Bauman,Randall L.; Ashton,Dillon R., Electrically conductive heated glass panel assembly, control system, and method for producing panels.
  69. Minshall, Edmund B.; Biggs, Kevin; Stowell, R. Marshall; Fetters, Wayne, Electroless copper deposition apparatus.
  70. Andryuschenko, Tatyana N.; Reid, Jonathan D.; Mayer, Steven T.; Webb, Eric G., Electroless copper deposition method for preparing copper seed layers.
  71. Varadarajan,Seshasayee; Zhou,Jian, Electroless copper fill process.
  72. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  73. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  74. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  75. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  76. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  77. Park, Heung L.; Webb, Eric G.; Reid, Jonathan D.; Cleary, Timothy Patrick, Electroless layer plating process and apparatus.
  78. Dubin, Valery M.; Fajardo, Arnel; Cheng, Chin Chang, Electroless plating systems and methods.
  79. Webb, Eric G.; Mayer, Steven T.; Dinneen, David Mark; Minshall, Edmund B.; Bartlett, Christopher M.; Stowell, R. Marshall; Winslow, Mark T.; Kepten, Avishai; Feng, Jingbin; Kaplan, Norman D.; Lyons, Richard K.; Alexy, John B., Electroless plating-liquid system.
  80. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  81. Feng, Jingbin; He, Zhian; Rash, Robert; Mayer, Steven T., Electroplating apparatus with vented electrolyte manifold.
  82. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  83. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  84. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  85. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  86. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  87. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  88. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J., Filter.
  89. Francis, Ryan L.; Moore, Stephen Patrick, Filter for a portable industrial air filtration device.
  90. Francis, Ryan L.; Moore, Stephen Patrick, Filter for a portable industrial air filtration device.
  91. Francis, Ryan L.; Moore, Stephen Patrick, Filter for an air filtration device.
  92. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J., Filter for an air filtration device.
  93. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J.; Belton, Antonio J., Filter for an air filtration device.
  94. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J.; Belton, Antonio J., Filter for an air filtration device.
  95. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J.; Belton, Antonio J., Filter for an air filtration device.
  96. Leatzow, Derek J.; Grider, Keith A.; Greene, Daniel J.; Belton, Antonio J., Filter for an air filtration device.
  97. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  98. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  99. Chopra, Naveen; Kazmaier, Peter M.; Lalisse, Dominique J.; Smith, Paul F., Galvanic process for making printed conductive metal markings for chipless RFID applications.
  100. Chopra, Naveen; Kazmaier, Peter M.; Lalisse, Dominique J.; Smith, Paul F., Galvanic process for making printed conductive metal markings for chipless RFID applications.
  101. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  102. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  103. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  104. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  105. Gerhardinger, Peter F., Heated glass panel frame with electronic controller and triac.
  106. Gerhardinger,Peter F.; Bauman,Randall L.; Ashton,Dillon R., Heating head and mask apparatus.
  107. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  108. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  109. Farrar,Paul A., Hplasma treatment.
  110. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  111. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  112. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  113. Farrar, Paul A., Integrated circuit and seed layers.
  114. Farrar,Paul A., Integrated circuit and seed layers.
  115. Farrar,Paul A., Integrated circuit and seed layers.
  116. Dubin,Valery M., Integrated circuit with metal layer having carbon nanotubes and methods of making same.
  117. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  118. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  119. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  120. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  121. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  122. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  123. Kiyoharu Fujita JP; Shinji Furuichi JP; Takeo Sasaki JP, Manufacturing method for a thin film magnetic head having fine crystal grain coil.
  124. Tao, Yi; Zhong, Fan; de Groot, Wilhelmus A., Mechanical layer and methods of forming the same.
  125. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  126. Cohen, Uri, Metallic interconnects products.
  127. Collins, Jimmy D.; DeKraker, David P.; Gast, Tracy A.; Rose, Alan D., Method and apparatus for treating a workpiece with arrays of nozzles.
  128. Collins, Jimmy D.; DeKraker, David P.; Gast, Tracy A.; Rose, Alan D., Method and apparatus for treating a workpiece with arrays of nozzles.
  129. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  130. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  131. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  132. Gerhardinger,Peter F.; Bauman,Randall L.; Ashton,Dillon R., Method for forming heated glass panels.
  133. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  134. Hwu,Boq Kang; Liu,Chung Shi; Yu,Chen Hua, Method for preventing Cu contamination and oxidation in semiconductor device manufacturing.
  135. Gerhardinger,Peter F.; Bauman,Randall L.; Ashton,Dillon R., Method for producing electrically conductive heated glass panels.
  136. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  137. Segawa, Yuji; Yoshio, Akira; Suzuki, Masatoshi; Watanabe, Katsumi; Sato, Shuzo, Method of electroless plating and electroless plating apparatus.
  138. Ko, Jungmin, Method of fin patterning.
  139. Tung, Ming-Hau; Gally, Brian James; Kothari, Manish; Chui, Clarence; Batey, John, Method of manufacture for microelectromechanical devices.
  140. Tung, Ming-Hau; Kogut, Lior, Method of manufacturing MEMS devices providing air gap control.
  141. Tung, Ming-Hau; Kogut, Lior, Method of manufacturing MEMS devices providing air gap control.
  142. Collins, Jimmy D.; DeKraker, David P.; Gast, Tracy A.; Rose, Alan D., Method of removing liquid from a barrier structure.
  143. Mayer, Steven T.; Alexy, John B.; Feng, Jingbin, Methods and apparatus for airflow and heat management in electroless plating.
  144. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  145. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  146. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  147. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  148. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  149. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  150. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  151. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  152. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  153. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  154. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  155. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  156. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  157. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  158. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  159. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  160. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  161. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  162. Kevin Petrarca ; Robert A. Groves ; Brian Herbst ; Christopher Jahnes ; Richard Volant, Multiposition micro electromechanical switch.
  163. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  164. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  165. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  166. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  167. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  168. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  169. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  170. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  171. Chui, Clarence, Photonic MEMS and structures.
  172. Lee, Hojin; Zhong, Fan; Tao, Yi, Pixel via and methods of forming the same.
  173. Lee, Hojin; Zhong, Fan; Tao, Yi, Pixel via and methods of forming the same.
  174. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  175. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  176. Tanaka, Takashi; Saito, Yusuke; Iwashita, Mitsuaki; Toshima, Takayuki, Plating apparatus, plating method and storage medium.
  177. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  178. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  179. Grider, Keith A.; Leatzow, Derek J.; McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S.; Greene, Daniel J., Portable industrial air filtration device.
  180. Grider, Keith A.; Leatzow, Derek J.; McPhilliamy, Stephen J.; Belton, Antonio J.; White, Jr., John S.; Greene, Daniel J.; Francis, Ryan L.; Corrigan, Sean J.; Pint, Charles Steven; Garrett, Jr., Frank E., Portable industrial air filtration device.
  181. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  182. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  183. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  184. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  185. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  186. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  187. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  188. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  189. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  190. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  191. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  192. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  193. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  194. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  195. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  196. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  197. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  198. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  199. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  200. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  201. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  202. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  203. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  204. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  205. Weidman,Timothy W., Ruthenium containing layer deposition method.
  206. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  207. Cohen, Uri, Seed layers for metallic interconnects.
  208. Cohen, Uri, Seed layers for metallic interconnects and products.
  209. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  210. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  211. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  212. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  213. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  214. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  215. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  216. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  217. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  218. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  219. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  220. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  221. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  222. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  223. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  224. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  225. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  226. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  227. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  228. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  229. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  230. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  231. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  232. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  233. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  234. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  235. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  236. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  237. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  238. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  239. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  240. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  241. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  242. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  243. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  244. Osamu Okada JP; Atsushi Sekiguchi JP, Single substrate processing CVD procedure for depositing a metal film using first and second CVD processes in first and second process chambers.
  245. Feng, Jingbin; Mayer, Steven T.; Dinneen, Daniel Mark; Minshall, Edmund B.; Bartlett, Christopher M.; Webb, Eric G.; Stowell, R. Marshall; Winslow, Mark T.; Kepten, Avishai; Kaplan, Norman D.; Lyons, Richard K.; Alexy, John B., Small-volume electroless plating cell.
  246. Kolics, Artur, Solutions and methods for metal deposition.
  247. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  248. Farrar, Paul A., Structures and methods to enhance copper metallization.
  249. Farrar, Paul A., Structures and methods to enhance copper metallization.
  250. Farrar, Paul A., Structures and methods to enhance copper metallization.
  251. Farrar,Paul A., Structures and methods to enhance copper metallization.
  252. Farrar,Paul A., Structures and methods to enhance copper metallization.
  253. Paul A. Farrar, Structures and methods to enhance copper metallization.
  254. Lubomirsky,Dmitry, Substrate support with fluid retention band.
  255. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  256. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  257. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  258. Lauerhaas, Jeffrey M.; Collins, Jimmy D.; Gast, Tracy A.; Rose, Alan D., Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation.
  259. Lauerhaas, Jeffrey M.; Collins, Jimmy D.; Gast, Tracy A.; Rose, Alan D., Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation.
  260. Lauerhaas, Jeffrey M.; Collins, Jimmy D.; Gast, Tracy A.; Rose, Alan D., Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation.
  261. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  262. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  263. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  264. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  265. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  266. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  267. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  268. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로