$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Formation of microchannels from low-temperature plasma-deposited silicon oxynitride 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/00
출원번호 US-0339715 (1999-06-24)
발명자 / 주소
  • Matzke Carolyn M.
  • Ashby Carol I. H.
  • Bridges Monica M.
  • Manginell Ronald P.
출원인 / 주소
  • Sandia Corporation
대리인 / 주소
    Hohimer
인용정보 피인용 횟수 : 164  인용 특허 : 18

초록

A process for forming one or more fluid microchannels on a substrate is disclosed that is compatible with the formation of integrated circuitry on the substrate. The microchannels can be formed below an upper surface of the substrate, above the upper surface, or both. The microchannels are formed by

대표청구항

[ What is claimed is:] [1.] A method for forming a fluid microchannel in a substrate, comprising steps for:(a) forming a trench below an upper surface of the substrate;(b) filling the trench with a sacrificial material;(c) forming at least one silicon oxynitride layer covering the trench; and(d) rem

이 특허에 인용된 특허 (18)

  1. Zanzucchi Peter J. (West Windsor Township ; Mercer County NJ) McBride Sterling E. (Lawrence Township ; Mercer County NJ) Burton Charlotte A. (Brick NJ) Cherukuri Satyam C. (Cranbury NJ), Apparatus and methods for controlling fluid flow in microchannels.
  2. Jons Steve D. ; O'Connor Paul J. ; Hu Ing-Fen, Covered microchannels and the microfabrication thereof.
  3. Zanzucchi Peter John ; Burton Charlotte Anna ; McBride Sterling Edward ; Demers Robert Richard ; Chiang William ; Moroney ; III Richard Morgan, Electrokinetic pumping.
  4. Zanzucchi Peter John (West Windsor Township NJ) Cherukuri Satyam Choudary (Cranbury NJ) McBride Sterling Edward (Lawrence Township NJ), Etching to form cross-over, non-intersecting channel networks for use in partitioned microelectronic and fluidic device.
  5. Lockwood Harry F., Field emission device with microchannel gain element.
  6. Schmidt Robert N. ; Shaw Greg S., Fluid flow control devices.
  7. Then Alan M. (Auburn MA) Shank Steven M. (Ithaca NY) Soave Robert J. (Cortland NY) Tasker G. William (West Brookfield MA), Method for fabrication of microchannel electron multipliers.
  8. Laermer Franz (Stuttgart DEX) Schilp Andrea (Schwbisch Gmnd DEX), Method of anisotropically etching silicon.
  9. Zavracky Paul M., Method of monolithically fabricating a microspectrometer with integrated detector.
  10. Frazier A. Bruno, Methods for preparing devices having metallic hollow microchannels on planar substrate surfaces.
  11. Frazier A. Bruno, Methods for preparing devices having metallic hollow microchannels on planar substrate surfaces.
  12. Hamilton Robin E. ; Kennedy Paul G. ; Ostop John ; Baker Martin L. ; Arlow Gregory A. ; Golombeck John C. ; Fagan ; Jr. Thomas J, Microchannel cooling of high power semiconductor devices.
  13. Kennedy Colin B., Microfluidic devices and systems.
  14. Saaski Elric W. (Bothell WA) Lawrence Dale M. (Lynnwood WA), Micromachined fluid handling apparatus with filter.
  15. Kroy Walter (Ottobrunn DEX) Seidel Helmut (Starnberg DEX) Dette Eduard (Vagen DEX) Koniger Max (Munich DEX) Deimel Peter (Langenpreising DEX) Binder Florian (Traunstein DEX) Hilpert Reinhold (Munich , Micromechanical structure.
  16. Zanzucchi Peter J. (Lawrenceville NJ) Cherukuri Satyam C. (Cranbury NJ) McBride Sterling E. (Lawrenceville NJ), Partitioned microelectronic and fluidic device array for clinical diagnostics and chemical synthesis.
  17. Zanzucchi Peter John ; Cherukuri Satyam Choudary ; McBride Sterling Edward ; Judd Amrit Kaur, Partitioned microelectronic device array.
  18. Biegelsen David K. ; Cheung Patrick C. P. ; Berlin Andrew A. ; Jackson Warren B. ; Swartz Lars-Erik ; Apte Raj B. ; Bruce Richard H., Passively addressable fluid valves having S-shaped blocking films.

이 특허를 인용한 특허 (164)

  1. Nakatani, Masaya; Yukimasa, Tetsuo, Amplification reaction vessel, and method of manufacturing the same.
  2. Brewer,Richard Grant; Upadhya,Girish; Zhou,Peng; McMaster,Mark; Tsao,Paul, Apparatus and method of efficient fluid delivery for cooling a heat producing device.
  3. Kenny,Thomas; McMaster,Mark; Lovette,James, Apparatus and method of forming channels in a heat-exchanging device.
  4. Kenny, Jr.,Thomas William; Goodson,Kenneth E.; Santiago,Juan G.; Everett, Jr.,George Carl, Apparatus for conditioning power and managing thermal energy in an electronic device.
  5. Maheshwari,Dinesh, Arbitrary phase profile for better equalization in dynamic gain equalizer.
  6. Amm, David T.; Trisnadi, Jahja; Hunter, James; Gudeman, Christopher; Maheshwari, Dinesh, Blazed grating light valve.
  7. Datta, Madhav; McMaster, Mark, Bonded metal and ceramic plates for thermal management of optical and electronic devices.
  8. Maheshwari, Dinesh; Trisnadi, Jahia; Corrigan, Robert W., Chirped optical MEM device.
  9. Yin, Zhiping; Iyer, Ravi; Glass, Thomas R.; Holscher, Richard; Niroomand, Ardavan; Somerville, Linda K.; Sandhu, Gurtej S., Circuitry and gate stacks.
  10. Goodson,Kenneth E.; Chen,Chuan Hua; Huber,David E.; Jiang,Linan; Kenny,Thomas W.; Koo,Jae Mo; Laser,Daniel J.; Mikkelsen,James C.; Santiago,Juan G.; Wang,Evelyn Ning Yi; Zeng,Shulin; Zhang,Lian, Closed-loop microchannel cooling system.
  11. Li,Weimin; Yin,Zhiping, Compositions of matter and barrier layer compositions.
  12. Folta, James A.; Zdeblick, Mark, Conformal chemically resistant coatings for microflow devices.
  13. Higashi, Robert E., Conformal film micro-channels for a fluidic micro analyzer.
  14. Santiago,Juan G.; Zeng,Shulin, Control of electrolysis gases in electroosmotic pump systems.
  15. Chang, Steve, Cooling device including etched lateral microchannels.
  16. Shook,James Gill; Lovette,James, Decoupled spring-loaded mounting apparatus and method of manufacturing thereof.
  17. Correa, Adrian; Lin, Tien Chih (Eric); Hom, James; Shiomoto, Gregory; Chow, Norman; Leong, Brandon; Brewer, Richard Grant; Werner, Douglas E.; McMaster, Mark, Device and methodology for the removal of heat from an equipment rack by means of heat exchangers mounted to a door.
  18. Correa, Adrian; Lin, Tien-Chieh (Eric); Hom, James; Shiomoto, Gregory; Chow, Norman; Leong, Brandon; Brewer, Richard Grant; Werner, Douglas E.; McMaster, Mark, Device and methodology for the removal of heat from an equipment rack by means of heat exchangers mounted to a door.
  19. Trisnadi,Jahja I.; Carlisle,Clinton B.; Cejna,Vlastimil, Diffractive light modulator-based dynamic equalizer with integrated spectral monitor.
  20. Charles M. Newton ; Randy T. Pike ; Richard A. Gassman, Electronic device using evaporative micro-cooling and associated methods.
  21. Goodson, Kenneth E.; Chen, Chuan-Hua; Huber, David E.; Jiang, Linan; Kenny, Thomas W.; Koo, Jae-Mo; Laser, Daniel J.; Mikkelsen, James C.; Santiago, Juan G.; Wang, Evelyn Ning-Yi; Zeng, Shulin; Zhang, Electroosmotic microchannel cooling system.
  22. Goodson,Kenneth E.; Chen,Chuan Hua; Huber,David E.; Jiang,Linan; Kenny,Thomas W.; Koo,Jae Mo; Laser,Daniel J.; Mikkelsen,James C.; Santiago,Juan G.; Wang,Evelyn Ning Yi; Zeng,Shulin; Zhang,Lian, Electroosmotic microchannel cooling system.
  23. Goodson,Kenneth E.; Chen,Chuan Hua; Huber,David E.; Jiang,Linan; Kenny,Thomas W.; Koo,Jae Mo; Laser,Daniel J.; Mikkelsen,James C.; Santiago,Juan G.; Wang,Evelyn Ning Yi; Zeng,Shulin; Zhang,Lian, Electroosmotic microchannel cooling system.
  24. Xu, Shuming; Zheng, Yi, Enhanced thermal transfer in a semiconductor structure.
  25. Joshi, Pooran Chandra; Voutsas, Apostolos T.; Hartzell, John W., Enhanced thin-film oxidation process.
  26. Maloney,John M.; Sbiaa,Zouhair; Santini, Jr.,John T.; Sheppard, Jr.,Norman F.; Uhland,Scott A., Fabrication methods and structures for micro-reservoir devices.
  27. Miller, Gregory; Berger, Josef, Fiber optic transceiver.
  28. Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S.; Ausiello, Dennis; Sheppard, Jr., Norman F.; Herman, Stephen J., Flexible microchip devices for ophthalmic and other applications.
  29. Truninger, Martha A.; Haluzak, Charles C.; Leith, Steven, Flextensional transducer and method of forming flextensional transducer.
  30. Truninger,Martha A.; Haluzak,Charles C.; Leith,Steven, Flextensional transducer and method of forming flextensional transducer.
  31. Matzke, Carolyn M.; Ashby, Carol I. H.; Griego, Leonardo, Formation of interconnections to microfluidic devices.
  32. Moriizumi, Kiyokazu, Front-and-back electrically conductive substrate.
  33. Munch, Mark; Werner, Douglas E., Gimballed attachment for multiple heat exchangers.
  34. Mungekar, Hemant P.; Lee, Young S.; Jakubowicz, Agnieszka; Hua, Zhong Qiang; Purnawan, Rionard; Kamath, Sanjay; Zygmunt, Walter, HDP-CVD SiON films for gap-fill.
  35. Gao, Qiang; Li, Yanxing; Huang, Lin-Jie, Heat exchanger.
  36. Werner,Douglas; Munch,Mark; Kenny,Thomas, Hermetic closed loop fluid system.
  37. Uhland,Scott A.; Polito,Benjamin F.; Herman,Stephen J.; Santini, Jr.,John T.; Maloney,John M., Hermetically sealed microchip reservoir devices.
  38. Maheshwari,Dinesh, High contrast tilting ribbon blazed grating.
  39. Moorman, Matthew W.; Manginell, Ronald P.; Edwards, Thayne L., High-temperature, adhesive-based microvalves and uses thereof.
  40. Santini, Jr., John T.; Cima, Michael J.; Sheppard, Jr., Norman F.; Herman, Stephen J., Implantable, tissue conforming drug delivery device.
  41. Hall, Eric Spencer; Leis, Shauna Marie; McNees, Andrew Lee; Mrvos, James Michael; Powers, James Harold; Sullivan, Carl Edmond, Ink jet printheads and methods therefor.
  42. Hom, James; Upadhya, Girish; Werner, Douglas E.; Munch, Mark; Tsao, Paul; Conway, Bruce; Zhou, Peng; Brewer, Richard, Integrated liquid to air conduction module.
  43. Xu, Shuming, Integrated on-chip junction capacitor for power management integrated circuit device.
  44. Hom, James; Choi, Hae-won; Lin, Tien Chih (Eric); Werner, Douglas E.; Chow, Norman; Correa, Adrian; Leong, Brandon; Gopalakrishnan, Sudhakar; Brewer, Richard Grant; McMaster, Mark; Upadhya, Girish, Internal access mechanism for a server rack.
  45. Upadhya,Girish; Kenny,Thomas W.; Zhou,Peng; Munch,Mark; Shook,James Gill; Goodson,Kenneth; Corbin,David, Interwoven manifolds for pressure drop reduction in microchannel heat exchangers.
  46. Carlisle, Clinton B.; Trisnadi, Jahia I.; Hunter, James, Light modulator structure for producing high-contrast operation using zero-order light.
  47. Li,Weimin; Yin,Zhiping; Budge,William, Low K interlevel dielectric layer fabrication methods.
  48. Li, Weimin; Yin, Zhiping; Budge, William, Low k interlevel dielectric layer fabrication methods.
  49. Li,Weimin; Yin,Zhiping; Budge,William, Low k interlevel dielectric layer fabrication methods.
  50. Hunter,James; Gudeman,Christopher; Payne,Alexander, MEM micro-structures and methods of making the same.
  51. Trisnadi,Jahja I.; Carlisle,Clinton B., MEMS interferometer-based reconfigurable optical add-and-drop multiplexor.
  52. Binz, Dieter; Krusemark, Olaf; Lehmann, Uwe; Muller, Jorg; Vogel, Albrecht; Keeping, Sean, Measuring and evaluating device.
  53. Santini, Jr.,John T.; Sheppard, Jr.,Norman F., Medical device and method for diagnostic sensing.
  54. Goodson,Kenneth; Kenny,Thomas; Zhou,Peng; Upadhya,Girish; Munch,Mark; McMaster,Mark; Horn,James, Method and apparatus for achieving temperature uniformity and hot spot cooling in a heat producing device.
  55. Upadhya,Girish; Brewer,Richard Grant; McMaster,Mark, Method and apparatus for controlling freezing nucleation and propagation.
  56. Kenny,Thomas W.; Munch,Mark; Zhou,Peng; Shook,James Gill; Upadhya,Girish; Goodson,Kenneth; Corbin,Dave; McMaster,Mark; Lovette,James, Method and apparatus for efficient vertical fluid delivery for cooling a heat producing device.
  57. de Groot, Wilhelmus; Maheshwari, Dinesh, Method and apparatus for leveling thermal stress variations in multi-layer MEMS devices.
  58. Carlisle, Clinton B.; Trisnadi, Jahja I.; Webb, Douglas A.; Lehoty, David; Almarzouk, Kais; Tomita, Akira, Method and apparatus for monitoring WDM channels and for analyzing dispersed spectrum of light.
  59. Trisnadi, Jahja I., Method and apparatus for reducing laser speckle using polarization averaging.
  60. Miller, Gregory D., Method for domain patterning in low coercive field ferroelectrics.
  61. Kim, Kyu Sung, Method for forming a mask pattern for ion-implantation.
  62. Khare, Mukesh V.; D'Emic, Christopher P.; Hwang, Thomas T.; Jamison, Paul C.; Quinlivan, James J.; Ward, Beth A., Method for improved plasma nitridation of ultra thin gate dielectrics.
  63. Viovy, Jean-Louis; Weber, Jeremie; Paul, Debjani; Malaquin, Laurent; Miserere, Sandrine, Method for improving the bonding properties of microstructured substrates, and devices prepared with this method.
  64. Moriizumi,Kiyokazu, Method for making a front and back conductive substrate.
  65. Sheppard, Jr., Norman F.; Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S.; Ausiello, Dennis, Method for wirelessly monitoring implanted medical device.
  66. Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S.; Ausiello, Dennis; Sheppard, Jr., Norman F., Method of actuating implanted medical device.
  67. Shook, James Gill, Method of and apparatus for sealing an hermetic lid to a semiconductor die.
  68. Murali,Venkatesan, Method of creating an angled waveguide using lithographic techniques.
  69. Martin,Alexandre; Villanueva,Davy; Salvetti,Fr챕d챕ric, Method of fabricating an integrated circuit including hollow isolating trenches and corresponding integrated circuit.
  70. Datta, Madhav; McMaster, Mark; Brewer, Rick; Zhou, Peng; Tsao, Paul; Upadhaya, Girish; Munch, Mark, Method of fabricating high surface to volume ratio structures and their integration in microheat exchangers for liquid cooling system.
  71. Chen, Chien-Hua; Kramer, Kenneth Michael, Method of forming substrate for fluid ejection device.
  72. Brandenburg,Scott D.; Chengalva,Suresh K.; Degenkolb,Thomas A., Method of making an encapsulated microelectronic package having fluid carrying encapsulant channels.
  73. Kudo,Manabu; Ohara,Osamu, Method of manufacturing electronic device and method of manufacturing semiconductor device.
  74. Santini, Jr., John T.; Cima, Michael J.; Langer, Robert S.; Ausiello, Dennis; Sheppard, Jr., Norman F., Method of opening reservoir of containment device.
  75. Rooyackers,Rita, Method of producing semiconductor devices using chemical mechanical polishing.
  76. Leung, Omar S., Method of sealing a hermetic lid to a semiconductor die at an angle.
  77. Trisnadi, Jahja I., Method, apparatus, and diffuser for reducing laser speckle.
  78. Brewer, Richard Grant; Chow, Norman; Hom, James, Methodology of cooling multiple heat sources in a personal computer through the use of multiple fluid-based heat exchanging loops coupled via modular bus-type heat exchangers.
  79. Shawgo, Rebecca S.; Sheppard, Jr., Norman F.; Cima, Michael J.; Santini, Jr., John T.; Herman, Stephen J.; Polito, Benjamin F.; Uhland, Scott A., Methods and devices for sealing microchip reservoir devices.
  80. Chang,Ming Chih; Lai,Tsong Mu; Wu,Hua Shu, Micro pipe manufacturing method.
  81. Corbin,David; Goodson,Kenneth; Kenny,Thomas; Santiago,Juan; Zeng,Shulin, Micro-fabricated electrokinetic pump.
  82. Kenny,Thomas W.; Shook,James Gill; Zeng,Shulin; Lenehan,Daniel J.; Santiago,Juan; Lovette,James, Micro-fabricated electrokinetic pump with on-frit electrode.
  83. Ouellet, Luc; Tyler, Heather, Micro-fluidic devices.
  84. Hunter,Jim; Amm,David; Gudeman,Christopher, Micro-structures with individually addressable ribbon pairs.
  85. Gudeman, Christopher; Hunter, James; Yeh, Richard; Amm, David T., Micro-support structures.
  86. Ouellet, Luc; Wright, Patrick, Microchannels for BioMEMS devices.
  87. Sheppard, Jr.,Norman F.; Santini, Jr.,John T.; Herman,Stephen J.; Cima,Michael J.; Langer,Robert S.; Ausiello,Dennis, Microchip reservoir devices using wireless transmission of power and data.
  88. Bruner, Mike, Microelectronic mechanical system and methods.
  89. Bruner,Mike, Microelectronic mechanical system and methods.
  90. Bruner,Mike; Yeh,Richard; Hunter,Jim, Microelectronic mechanical system and methods.
  91. Santini, Jr., John T.; Sheppard, Jr., Norman F.; Young, Chung Chang; Langer, Robert S., Microfabricated devices for the storage and selective exposure of chemicals and devices.
  92. Santini, Jr., John T.; Sheppard, Jr., Norman F.; Young, Chung Chang; Langer, Robert S., Microfabricated devices for the storage and selective exposure of chemicals and devices.
  93. Datta, Madhav; Leong, Brandon; McMaster, Mark, Microheat exchanger for laser diode cooling.
  94. Moorman, Matthew W.; Manginell, Ronald P.; Rejent, Jerome A., Microsampler and method of making the same.
  95. Joseph, Paul Jayachandran; Kohl, Paul A.; Allen, Sue Ann Bidstrup, Microstructures and methods of fabrication thereof.
  96. Joseph,Paul Jayachandran; Kohl,Paul A.; Allen,Sue Ann Bidstrup, Microstructures and methods of fabrication thereof.
  97. Wang, Li-Peng; Ma, Qing, Miniature chemical analysis system.
  98. Wang, Li-Peng; Ma, Qing, Miniature chemical analysis system.
  99. Santini, Jr., John T.; Sbiaa, Zouhair; Coppeta, Jonathan R.; Uhland, Scott A.; Sheppard, Jr., Norman F., Multi-cap reservoir devices for controlled release or exposure of reservoir contents.
  100. Lovette,James; Zhou,Peng; Shook,James Gill, Multi-level microchannel heat exchangers.
  101. Santini, Jr., John T.; Sbiaa, Zouhair; Coppeta, Jonathan R.; Uhland, Scott A.; Sheppard, Jr., Norman F., Multi-opening reservoir devices for controlled release or exposure of reservoir contents.
  102. Santini, Jr., John T.; Staples, Mark A.; Herman, Stephen J., Multi-reservoir device for transdermal drug delivery and sensing.
  103. Khare,Mukesh V.; D'Emic,Christopher P.; Hwang,Thomas T.; Jamison,Paul C.; Quinlivan,James J.; Ward,Beth A., Nitrided ultra thin gate dielectrics.
  104. Berger, Josef; Miller, Gregory; Miles, Ronald, Optical switch.
  105. Upadhya, Girish; Herms, Richard; Zhou, Peng; Goodson, Kenneth; Hom, James, Optimal spreader system, device and method for fluid cooled micro-scaled heat exchange.
  106. Zhou,Peng; van Der Heide,Dolf; Goodson,Kenneth; Upadhya,Girish, Optimized multiple heat pipe blocks for electronics cooling.
  107. Maheshwari, Dinesh; Dueweke, Michael, PDL mitigation structure for diffractive MEMS and gratings.
  108. Tsai,Chih Chang; Yang,Ming Hsun, Piezoelectric ink jet print head and fabrication method for a pressure chamber thereof.
  109. Liang, Xinmiao; Wan, Huihui; Xue, Xingya, Porous silicon oxynitride materials for chromatographic separation and method of their preparation.
  110. Kenny, Jr., Thomas William; Goodson, Kenneth E.; Santiago, Juan G.; Everett, Jr., George Carl, Power conditioning module.
  111. Kenny, Jr.,Thomas William; Goodson,Kenneth E.; Santiago,Juan G.; Everett, Jr.,George Carl, Power conditioning module.
  112. Gudeman,Christopher; Leung,Omar; Hunter,James; Amm,David, Pre-deflected bias ribbons.
  113. Sassolini, Simone; Del Sarto, Marco; Frezza, Giovanni; Baldo, Lorenzo, Process for sealing devices incorporating microstructures.
  114. Lenehan, Daniel J.; Goodson, Kenneth; Kenny, Thomas W.; Munch, Mark; Sahu, Saroj, Pump and fan control concepts in a cooling system.
  115. Lenehan, Daniel J.; Goodson, Kenneth; Kenny, Thomas W.; Munch, Mark; Sahu, Saroj, Pump and fan control concepts in a cooling system.
  116. Carlisle, Clinton B.; Trisnadi, Jahja I., Rapidly tunable external cavity laser.
  117. Hunter, James; Staker, Bryan, Reduced formation of asperities in contact micro-structures.
  118. Cho,Won Il; Shin,In Kyun; Park,Jin Hyung, Reference pattern for creating a defect recognition level, method of fabricating the same and method of inspecting defects using the same.
  119. Munch,Mark; Goodson,Kenneth; Corbin,David; Zeng,Shulin; Kenny,Thomas W.; Shook,James Gill, Remedies to prevent cracking in a liquid system.
  120. Munch,Mark; Goodson,Kenneth; Corbin,David; Zeng,Shulin; Kenny,Thomas W.; Shook,James Gill, Remedies to prevent cracking in a liquid system.
  121. Munch,Mark; Goodson,Kenneth; Corbin,David; Zeng,Shulin; Kenny,Thomas W.; Shook,James Gill, Remedies to prevent cracking in a liquid system.
  122. Munch,Mark; Goodson,Kenneth; Corbin,David; Zeng,Shulin; Kenny,Thomas W.; Shook,James Gill, Remedies to prevent cracking in a liquid system.
  123. Munch,Mark; Goodson,Kenneth; Corbin,David; Zeng,Shulin; Kenny,Thomas W.; Shook,James Gill, Remedies to prevent cracking in a liquid system.
  124. Moorman, Matthew W.; Manginell, Ronald P.; Anderson, John Moses; Simonson, Robert J.; Read, Douglas, Sealed micro gas chromatography columns and methods thereof.
  125. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions.
  126. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions having antireflective portions.
  127. Nakazawa, Haruo; Shimoyama, Kazuo; Takei, Manabu, Semiconductor device.
  128. Nakazawa, Haruo; Shimoyama, Kazuo; Takei, Manabu, Semiconductor device and manufacturing method thereof.
  129. Shimoyama, Kazuo; Takei, Manabu; Nakazawa, Haruo, Semiconductor device and manufacturing method thereof.
  130. Ngo, Minh Van; Halliyal, Arvind, Semiconductor device with metal gate electrode and silicon oxynitride spacer.
  131. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor devices having antireflective material.
  132. Li, Weimin; Yin, Zhiping, Semiconductor devices, and semiconductor processing methods.
  133. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor processing methods.
  134. Sandhu, Gurtej S.; Sharan, Sujit, Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks.
  135. Sandhu,Gurtej S.; Sharan,Sujit, Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks.
  136. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials.
  137. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  138. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  139. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  140. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  141. Wise, Kensall D.; Sacks, Richard; Beach, Katharine T.; Potkay, Joseph A.; Agah, Masoud, Separation microcolumn assembly for a microgas chromatograph and the like.
  142. Hunter,James; Gudeman,Christopher S., Silicon substrate as a light modulator sacrificial layer.
  143. Ngo, Minh Van; Halliyal, Arvind, Silicon-starved PECVD method for metal gate electrode dielectric spacer.
  144. DeBoer,Scott Jeffrey; Moore,John T., Structures comprising a layer free of nitrogen between silicon nitride and photoresist.
  145. Chen,Chien Hua; Schulte,Donald W.; McMahon,Terry E., Substrate and method forming substrate for fluid ejection device.
  146. Chen,Chien Hua; Schulte,Donald W.; McMahon,Terry E, Substrate and method of forming substrate for fluid ejection device.
  147. Truninger, Martha A.; Haluzak, Charles C.; Monroe, Michael, Substrate and method of forming substrate for fluid ejection device.
  148. Truninger, Martha A.; Leith, Steven David; Hess, Jeffery S., Substrate and method of forming substrate for fluid ejection device.
  149. Truninger,Martha A.; Haluzak,Charles C.; Monroe,Michael, Substrate and method of forming substrate for fluid ejection device.
  150. Chen, Chien-Hua; Kramer, Kenneth Michael, Substrate for fluid ejection device.
  151. Milligan, Donald J; Koch, Tim R; Truninger, Martha A; Lai, Diane W; Emery, Timothy R; Smith, J. Daniel, Substrate with fluidic channel and method of manufacturing.
  152. Foglietti,Vittorio; Cianci,Elena; Memmi,Daniele; Caliano,Giosu챕; Pappalardo,Massimo, Surface micromachining process for manufacturing electro-acoustic transducers, particularly ultrasonic transducers, obtained transducers and intermediate products.
  153. Galambos, Paul C.; Okandan, Murat; Montague, Stephen; Smith, James H.; Paul, Phillip H.; Krygowski, Thomas W.; Allen, James J.; Nichols, Christopher A.; Jakubczak, II, Jerome F., Surface-micromachined microfluidic devices.
  154. Galambos, Paul C.; Okandan, Murat; Montague, Stephen; Smith, James H.; Paul, Phillip H.; Krygowski, Thomas W.; Allen, James J.; Nichols, Christopher A.; Jakubczak, II, Jerome F., Surface-micromachined microfluidic devices.
  155. Bharath Rangarajan ; Bhanwar Singh ; Sanjay K. Yedur, System for facilitating uniform heating temperature of photoresist.
  156. Kenny, Jr., Thomas William; Goodson, Kenneth E.; Santiago, Juan G.; Kim, John J.; Chaplinsky, Robert C.; Everett, Jr., George Carl, System including power conditioning modules.
  157. Lundy, Douglas H., Threat detection system and method.
  158. Maheshwari, Dinesh, Tilt-able grating plane for improved crosstalk in 1×N blaze switches.
  159. Corrigan,Robert W.; Maheshwari,Dinesh, Two-stage gain equalizer.
  160. Zhou,Peng; Goodson,Kenneth; Suntiago,Juan, Vapor escape microchannel heat exchanger.
  161. Kub,Francis J.; Hobart,Karl D., Vertical conducting power semiconducting devices made by deep reactive ion etching.
  162. Kub,Francis J.; Hobart,Karl D., Vertical conducting power semiconductor devices implemented by deep etch.
  163. Roxlo, Charles B., Wavelength selective switch and equalizer.
  164. Wilke, Nicolle; Morrissey, Anthony, Wet etch processing.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로