$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0914995 (1997-08-20)
발명자 / 주소
  • Zhao Bin
  • Vasudev Prahalad K.
  • Horwath Ronald S.
  • Seidel Thomas E.
  • Zeitzoff Peter M.
출원인 / 주소
  • Lucent Technologies Inc.
인용정보 피인용 횟수 : 137  인용 특허 : 6

초록

A technique for fabricating a dual damascene interconnect structure using a low dielectric constant material as a dielectric layer or layers. A low dielectric constant (low-.di-elect cons.) dielectric material is used to form an inter-level dielectric (ILD) layer between metallization layers and in

대표청구항

[ We claim:] [1.] A process for fabricating a dual damascene integrated circuit on a semiconductor substrate, said process comprising the steps of:forming with a co-planar upper surface a copper metal line in a dielectric layer;selectively depositing an etch-stop conductive barrier/encapsulation lay

이 특허에 인용된 특허 (6)

  1. Lin Ming-Ren, Damascene process for reduced feature size.
  2. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas ; Srikrishnan Kris Venkatraman, High aspect ratio low resistivity lines/vias with a tungsten-germanium alloy hard cap.
  3. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  4. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  5. Huang Richard J. (Milpitas CA) Hui Angela (Milpitas CA) Cheung Robin (Cupertino CA) Chang Mark (Los Altos CA) Lin Ming-Ren (Cupertino CA), Simplified dual damascene process for multi-level metallization and interconnection structure.
  6. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.

이 특허를 인용한 특허 (137)

  1. Brennan, Kenneth D., Aluminum hardmask for dielectric etch.
  2. Lee, Jin-Yuan; Lin, Mou-Shiung; Huang, Ching-Cheng, Chip structure and process for forming the same.
  3. Lee, Jin-Yuan; Lin, Mou-Shiung; Huang, Ching-Cheng, Chip structure and process for forming the same.
  4. Lee,Jin Yuan; Lin,Mou Shiung; Huang,Ching Cheng, Chip structure and process for forming the same.
  5. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Chip structure and process for forming the same.
  6. Lin,Mou Shiung; Lee,Jin Yuan; Huang,Ching Cheng, Chip structure and process for forming the same.
  7. Erb,Darrell M.; Avanzino,Steven; Woo,Christy Mei Chu, Composite tantalum capped inlaid copper with reduced electromigration and reduced stress migration.
  8. Geusic, Joseph E.; Reinberg, Alan R., Conductive material patterning methods.
  9. Geusic,Joseph E.; Reinberg,Alan R., Conductive material patterning methods.
  10. Raaijmakers,Ivo; Haukka,Suvi P.; Saanila,Yille A.; Soininen,Pekka J.; Elers,Kai Erik; Granneman,Ernst H. A., Conformal lining layers for damascene metallization.
  11. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  12. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  13. Jang, Syun-Ming; Liu, Chung-Shi; Yu, Chen-Hua, Damascene method employing composite etch stop layer.
  14. Ying-Ho Chen TW, Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits.
  15. Farrar, Paul A., Damascene structure and method of making.
  16. Naik, Mehul B.; Weidman, Tim; Sugiarto, Dian; Zhao, Allen, Damascene structure fabricated using a layer of silicon-based photoresist material.
  17. Naik, Mehul B.; Weidman, Tim; Sugiarto, Dian; Zhao, Allen, Damascene structure fabricated using a layer of silicon-based photoresist material.
  18. Farrar, Paul A., Damascene structure with low dielectric constant insulating layers.
  19. Todd, Michael A., Deposition of amorphous silicon-containing films.
  20. Fei Wang ; Jerry Cheng ; Darrell M. Erb, Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer.
  21. Wang Fei ; Cheng Jerry ; Lukanc Todd, Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer.
  22. Subramanian, Ramkumar; Lyons, Christopher F.; Plat, Marina V.; Bell, Scott A., Dual damascene process utilizing a bi-layer imaging layer.
  23. Ming-Huei Lui TW; Mei-Hui Sung TW, Dual damascene structure employing laminated intermediate etch stop layer.
  24. Tsai, Ming-Hsing; Hsieh, Ching-Hua; Shue, Shau-Lin; Yu, Chen-Hua, Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers.
  25. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  26. Bauer, Matthias, Epitaxial deposition of doped semiconductor materials.
  27. Vinet, Fran.cedilla.oise; Morand, Yves, Etching an organic material layer, particularly for producing interconnections of the damascene type.
  28. Allen McTeer, Formation of electrical contacts to conductive elements in the fabrication of semiconductor integrated circuits.
  29. Liu Chih-Chien,TWX ; Tsai Cheng-Yuan,TWX ; Yang Ming-Sheng,TWX, Forming copper interconnects in dielectric materials with low constant dielectrics.
  30. Weng, Chun-Jen; Chen, Juan-Yi; Pan, Hong-Tsz; Lee, Cedric; Wu, Der-Yuan; Lin, Jackson; Yen, Yeong-Song; Lin, Lawrence; Tseng, Ying-Chung, Gap-filling process.
  31. Bauer, Matthias, High throughput cyclical epitaxial deposition and etch process.
  32. Das, Mrinal Kanti; Lipkin, Lori A.; Palmour, John W.; Sheppard, Scott; Hagleitner, Helmut, High voltage, high temperature capacitor and interconnection structures.
  33. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  34. Hsiung, Chiung-Sheng; Yang, Chih-Chao; Yang, Gwo-Shil; Yeh, Ming-Shih; Chen, Jen-Kon, Interconnection structure and fabrication method thereof.
  35. Hsiung,Chiung Sheng; Yang,Chih Chao; Yang,Gwo Shil; Yeh,Ming Shih; Chen,Jen Kon, Interconnection structure and fabrication method thereof.
  36. Lu, Yung Cheng; Tsai, Ming Hsing, Interconnects with harmonized stress and methods for fabricating the same.
  37. Chen, Sheng Hsiung; Chen, Shun Long; Lin, Hungtse; Hsu, Frank; Shih, Tsu, Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer.
  38. Tien-I Bao TW; Syun-Ming Jang TW, Low dielectric constant microelectronic conductor structure with enhanced adhesion and attenuated electrical leakage.
  39. Henry Chung ; James Lin TW, Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits.
  40. Kloster,Grant M.; Morrow,Xiarong; Leu,Jihperng, Low-K dielectric structure and method.
  41. Ang,Boon Yong; Chen,Cinti Xiaohua; Chan,Simon S.; Kang,Inkuk, Method for achieving increased control over interconnect line thickness across a wafer and between wafers.
  42. Alessandra Satta BE; Karen Maex BE; Kai-Erik Elers FI; Ville Antero Saanila FI; Pekka Juha Soininen FI; Suvi P. Haukka FI, Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  43. Satta, Alessandra; Maex, Karen; Elers, Kai-Erik; Saanila, Ville Antero; Soininen, Pekka Juha; Haukka, Suvi P., Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  44. Satta, Alessandra; Maex, Karen; Elers, Kai-Erik; Saanila, Ville Antero; Soininen, Pekka Juha; Haukka, Suvi P., Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  45. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Method for fabricating circuitry component.
  46. Zhao Bin, Method for fabrication and structure for high aspect ratio vias.
  47. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  48. Venkatesan Suresh ; Smith Bradley P. ; Islam Mohammed Rabiul, Method for forming a dual inlaid copper interconnect structure.
  49. Venkatesan, Suresh; Smith, Bradley P.; Islam, Mohammed Rabiul, Method for forming a dual inlaid copper interconnect structure.
  50. Huang Yimin,TWX, Method for forming dual damascene structure.
  51. San, Nelson Loke Chou; Satoh, Kiyoshi, Method for forming integrated dielectric layers.
  52. Shaviv, Roey, Method for forming interconnects.
  53. Shaviv, Roey, Method for forming interconnects.
  54. Tsing-Fong Hwang TW; Tsung-Yuan Hung TW, Method for forming metal interconnection structure without corner faceted.
  55. Inoue, Yushi, Method for producing semiconductor device.
  56. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX, Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby.
  57. Matsushita, Kiyohiro; Fukuda, Hideaki; Kagami, Kenichi, Method of cleaning UV irradiation chamber.
  58. Andreas,Michael T., Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution.
  59. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  60. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  61. Sergey D. Lopatin ; Robin W. Cheung, Method of encapsulated copper (Cu) interconnect formation.
  62. Oh, Jun hwan; Maeng, Dong cho; Kim, Soon ho, Method of fabricating a semiconductor device with a dopant region in a lower wire.
  63. Preusse, Axel; Friedemann, Michael; Seidel, Robert; Freudenberg, Berit, Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime.
  64. McLaughlin, Paul S.; Sankaran, Sujatha; Standaert, Theodorus E., Method of forming an embedded barrier layer for protection from chemical mechanical polishing process.
  65. Zhao, Bin; Brongo, Maureen R., Method of forming dual-damascene interconnect structures employing low-k dielectric materials.
  66. Goundar,Kamal Kishore; Kumakura,Tadashi; Satoh,Kiyoshi, Method of forming silicon carbide films.
  67. Fei Wang ; Lynne A. Okada ; Ramkumar Subramanian ; Calvin T. Gabriel, Method of making a dual damascene structure without middle stop layer.
  68. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  69. Leu, Jihperng; Thomas, Christopher D., Method of making semiconductor device using an interconnect.
  70. Miyata Koji,JPX ; Hasegawa Toshiaki,JPX ; Taguchi Mitsuru,JPX, Method of manufacturing a semiconductor device.
  71. Engelhardt,Manfred, Method of producing an integrated circuit configuration.
  72. Licheng Han SG; Xu Yi SG; Simon Chooi SG; Mei Sheng Zhou SG; Joseph Zhifeng Xie SG, Method of using silicon rich carbide as a barrier material for fluorinated materials.
  73. Mei-Sheng Zhou SG; Simon Chooi SG; Yi Xu SG, Method to form damascene interconnects with sidewall passivation to protect organic dielectrics.
  74. Li Jianxun,SGX ; Zhou Mei Sheng,SGX ; Xu Yi,SGX ; Chooi Simon,SGX, Method to prevent degradation of low dielectric constant material in copper damascene interconnects.
  75. Jang Syun-Ming,TWX ; Chen Ying-Ho,TWX ; Twu Jih-Churng,TWX ; Yu Chen-Hua,TWX, Method to reduce the damages of copper lines.
  76. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  77. Bauer, Matthias, Methods of depositing electrically active doped crystalline Si-containing films.
  78. Das,Mrinal Kanti; Lipkin,Lori A.; Palmour,John W.; Sheppard,Scott; Hagleitner,Helmut, Methods of fabricating high voltage, high temperature capacitor and interconnection structures.
  79. Jeong, In-Kwon, Methods of forming electrical interconnects on integrated circuit substrates using selective slurries.
  80. Bauer, Matthias; Weeks, Keith Doran; Tomasini, Pierre; Cody, Nyles, Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition.
  81. Bauer,Matthias; Weeks,Keith Doran; Tomasini,Pierre; Cody,Nyles, Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition.
  82. Parikh, Suketu A., Misalignment tolerant techniques for dual damascene fabrication.
  83. Lui, Meng-Huei; Sung, Mei-Hui, Multi-purpose composite mask for dual damascene patterning.
  84. Babich, Katherina E.; Carruthers, Roy Arthur; Dalton, Timothy Joseph; Grill, Alfred; Hedrick, Jeffrey Curtis; Jahnes, Christopher Vincent; Mays, Ebony Lynn; Perraud, Laurent; Purushothaman, Sampath; , Multilayer interconnect structure containing air gaps and method for making.
  85. Babich,Katherina E.; Carruthers,Roy Arthur; Dalton,Timothy Joseph; Grill,Alfred; Hedrick,Jeffrey Curtis; Jahnes,Christopher Vincent; Mays,Ebony Lynn; Perraud,Laurent; Purushothaman,Sampath; Saenger,K, Multilayer interconnect structure containing air gaps and method for making.
  86. Liu Qizhi ; Feiler David, Nitride etch stop for poisoned unlanded vias.
  87. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure.
  88. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure.
  89. Park,Sang Kyun, Plate for forming metal wires and method of forming metal wires using the same.
  90. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  91. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  92. Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  93. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  94. Takenaka Nobuyuki,JPX, Process for producing a semiconductor device.
  95. Ben Tzur,Mira; Ramkumar,Krishnaswamy; Chowdhury,Seurabh Dutta; Fastow,Michal Efrati, Protection of low-k dielectric in a passivation level.
  96. Hu,Chao Kun; Rosenberg,Robert; Rubino,Judith M.; Sambucetti,Carlos J.; Stamper,Anthony K., Reduced electromigration and stressed induced migration of copper wires by surface coating.
  97. Weidman,Timothy W., Ruthenium containing layer deposition method.
  98. Cheng, Tien-Jen; Dube, Abhishek; Li, Zhengwen; Zhu, Huilong, Selective copper encapsulation layer deposition.
  99. Bauer, Matthias; Arena, Chantal; Bertram, Ronald; Tomasini, Pierre; Cody, Nyles; Brabant, Paul; Italiano, Joseph; Jacobson, Paul; Weeks, Keith Doran, Selective deposition of silicon-containing films.
  100. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductive films.
  101. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  102. Yang, Kai; Nogami, Takeshi; Brown, Dirk; Pramanick, Shekhar, Self-aligned semiconductor interconnect barrier and manufacturing method therefor.
  103. Harada, Takeshi, Semiconductor device.
  104. Chang,Hui Lin; Lu,Yung Cheng; Ko,Chung Chi; Chen,Pi Tsung; Shue,Shau Lin; Shih,Chien Hsueh; Su,Hung Wen; Tsai,Ming Hsing, Semiconductor device and fabrication method thereof.
  105. Usami, Tatsuya, Semiconductor device and manufacturing method thereof.
  106. Usami,Tatsuya, Semiconductor device and manufacturing method thereof.
  107. Takeshi Harada JP, Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield.
  108. Nakagwa,Hideo; Tamaoka,Eiji; Kubota,Masafumi; Ueda,Tetsuya, Semiconductor device and method for fabricating the same.
  109. Yoshitaka, Hikaru, Semiconductor device and method of manufacturing the same.
  110. Nogami, Takeshi; Komai, Naoki; Kito, Hideyuki; Taguchi, Mitsuru, Semiconductor device having a conductive layer with a cobalt tungsten phosphorus coating and a manufacturing method thereof.
  111. Harada, Takeshi, Semiconductor device having a copper interconnect layer.
  112. Nakano, Hiroshi; Itabashi, Takeyuki; Akahoshi, Haruo, Semiconductor device having cobalt alloy film with boron.
  113. Huang, Judy H.; Bencher, Christopher Dennis; Rathi, Sudha; Ngai, Christopher S.; Kim, Bok Hoen, Semiconductor device having silicon carbide and conductive pathway interface.
  114. Higashi Kazuyuki,JPX ; Matsunaga Noriaki,JPX ; Kajita Akihiro,JPX ; Matsuda Tetsuo,JPX ; Iijima Tadashi,JPX ; Kaneko Hisashi,JPX ; Shibata Hideki,JPX ; Nakamura Naofumi,JPX ; Anand Minakshisundaran B, Semiconductor device manufacturing method and semiconductor device.
  115. Kazuyuki Higashi JP; Noriaki Matsunaga JP; Akihiro Kajita JP; Tetsuo Matsuda JP; Tadashi Iijima JP; Hisashi Kaneko JP; Hideki Shibata JP; Naofumi Nakamura JP; Minakshisundaran Balasubramanian, Semiconductor device manufacturing method and semiconductor device.
  116. Leu,Jihperng; Thomas,Christopher D., Semiconductor device using an interconnect.
  117. Bauer, Mathias, Separate injection of reactive species in selective formation of films.
  118. Bauer, Matthias, Separate injection of reactive species in selective formation of films.
  119. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  120. Thomas, Shawn; Tomasini, Pierre, Stressor for engineered strain on channel.
  121. Bauer, Matthias, Structure comprises an As-deposited doped single crystalline Si-containing film.
  122. Farrar, Paul A., Structures and methods to enhance copper metallization.
  123. Bernard, Joffre F.; Ngo, Minh Van; Hossain, Tim Z., Sub-cap and method of manufacture therefor in integrated circuit capping layers.
  124. Joffre F. Bernard ; Minh Van Ngo ; Tim Z. Hossain, Sub-cap and method of manufacture therefor in integrated circuit capping layers.
  125. Aggarwal, Ravinder; Conner, Rand; Disanto, John; Alexander, James A., Substrate reactor with adjustable injectors for mixing gases within reaction chamber.
  126. Todd, Michael A.; Raaijmakers, Ivo, Thin films and methods of making them.
  127. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  128. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  129. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  130. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  131. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  132. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  133. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  134. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  135. Zhang, Bei Chao; Low, Chun Hui; Lee, Hong Lim; Loong, Sang Yee; Guo, Qiang, Via electromigration improvement by changing the via bottom geometric profile.
  136. Zhang, Bei Chao; Low, Chun Hui; Lee, Hong Lim; Loong, Sang Yee; Guo, Qiang, Via electromigration improvement by changing the via bottom geometric profile.
  137. Woo, Christy Mei-Chu; Wang, Pin-Chin Connie; Marathe, Amit P., Via formation in integrated circuit interconnects.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로