$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Dual etch stop/diffusion barrier for damascene interconnects 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0409244 (1999-09-30)
발명자 / 주소
  • Edelstein Daniel C.
  • Dalton Timothy J.
  • Gaudiello John G.
  • Krishnan Mahadevaiyer
  • Malhotra Sandra G.
  • McGlashan-Powell Maurice
  • O'Sullivan Eugene J.
  • Sambucetti Carlos J.
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Ratner & PrestiaAnderson
인용정보 피인용 횟수 : 217  인용 특허 : 3

초록

A damascene interconnect containing a dual etch stop/diffusion barrier. The conductive material of the damascene interconnect is capped with a conductive metal diffusion barrier cap, typically using electroless deposition, and, optionally, with a dielectric etch-stop layer. An optional chemical mech

대표청구항

[ What is claimed is:] [1.] A structure comprising:a substrate;a dielectric layer over the substrate;a chemical mechanical polish-stop layer over the dielectric layer, the chemical mechanical polish-stop layer having an upper surface;an opening in the dielectric layer and the chemical mechanical pol

이 특허에 인용된 특허 (3)

  1. Roy Sudipto Ranendra,SGX, Method for forming copper damascene structures by using a dual CMP barrier layer.
  2. Chiang Chien ; Fraser David B., Method for forming multileves interconnections for semiconductor fabrication.
  3. Jeng Shin-Puu, Semiconductor device having damascene interconnects.

이 특허를 인용한 특허 (217)

  1. Wang, Chang-Gong; Shero, Eric; Wilk, Glen, ALD of metal silicate films.
  2. Wang, Chang-Gong; Shero, Eric; Wilk, Glen, ALD of metal silicate films.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  11. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  12. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  13. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence C.; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  14. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  15. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  16. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  17. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  18. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  19. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  20. Bonilla, Griselda; Chanda, Kaushik; Filippi, Ronald G.; Grunow, Stephan; Rath, David L.; Sankaran, Sujatha; Simon, Andrew H.; Standaert, Theodorus Eduardus; Yang, Chih-Chao, Capping of copper interconnect lines in integrated circuit devices.
  21. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  22. Yakobson,Eric; Hurtubise,Richard; Witt,Christian; Chen,Qingyun, Capping of metal interconnects in integrated circuit electronic devices.
  23. Lubomirsky, Dmitry, Chamber with flow-through source.
  24. Lubomirsky, Dmitry, Chamber with flow-through source.
  25. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  28. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  29. Man, Hau-chung; Ng, Wing-yan; Yeung, Chi-hung; Lee, Chi-yung; Siu, Cho-lung; Tsui, Rick Y. C.; Yeung, Kinny L. K., Cobalt-tungsten-phosphorus alloy diffusion barrier coatings, methods for their preparation, and their use in plated articles.
  30. Li, Lain-Jong; Bao, Tien-I; Jeng, Shwang-Ming; Jang, Syun-Ming; Huang, Jun-Lung; Liu, Jeng-Cheng, Composite etching stop in semiconductor process integration.
  31. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  32. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  33. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  34. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  35. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  36. Mandelman, Jack A.; Divakaruni, Ramachandra; Faltermeier, Johnathan E.; Tonti, William R., Deep slit isolation with controlled void.
  37. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  38. Krishnashree Achuthan ; Steven C. Avanzino ; Kashmir S. Sahota, Dielectric protected chemical-mechanical polishing in integrated circuit interconnects.
  39. Dawn M. Hopper ; Minh Van Ngo ; Joffre F. Bernard, Dielectric treatment in integrated circuit interconnects.
  40. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  41. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  42. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  43. Wang, Chang-Gong; Shero, Eric, Doping with ALD technology.
  44. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  45. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  46. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  47. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  48. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  49. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  50. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  51. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  52. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  53. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  54. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  55. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  56. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  57. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  58. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  59. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  60. Chiras, Stefanie Ruth; Lane, Michael Wayne; Malhotra, Sandra Guy; Mc Feely, Fenton Reed; Rosenberg, Robert; Sambucetti, Carlos Juan; Vereecken, Philippe Mark, Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures.
  61. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  62. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  63. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  64. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  65. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  66. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  67. Vincent J. McGahay ; John P. Hummel ; Joyce Liu ; Rebecca Mih ; Kamalesh Srivastava ; Robert Cook ; Stephen E. Greco, In situ formation of protective layer on silsesquioxane dielectric for dual damascene process.
  68. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  69. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  70. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  71. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  72. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  73. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Interconnect structures and a method of electroless introduction of interconnect structures.
  74. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  75. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  76. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  77. Osaka, Tetsuya; Yoshino, Masahiro, Laminated structure, very-large-scale integrated circuit wiring board, and method of formation thereof.
  78. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  79. Lee, Wei Ti; Guo, Ted; Yao, Gongda, Liner materials.
  80. Seamons,Martin Jay; Yeh,Wendy H.; Rathi,Sudha S. R.; Padhi,Deenesh; Luan,Andy (Hsin Chiao); Tang,Sum Yee Betty; Kulkarni,Priya; Sivaramakrishnan,Visweswaren; Kim,Bok Hoen; M'Saad,Hichem; Wang,Yuxiang May; Kwan,Michael Chiu, Liquid precursors for the CVD deposition of amorphous carbon films.
  81. Lopatin Sergey D., Low resistivity semiconductor barrier layer manufacturing method.
  82. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  83. Restaino,Darryl D.; Canaperi,Donald F.; Rubino,Judith M.; Smith,Sean P. E.; Henry,Richard O.; Fluegel,James E.; Krishnan,Mahadevaiyer, Manufacturable CoWP metal cap process for copper interconnects.
  84. Restaino,Darryl D.; Canaperi,Donald F.; Rubino,Judith M.; Smith,Sean P. E.; Henry,Richard O.; Fluegel,James E.; Krishnan,Mahadevaiyer, Manufacturable CoWP metal cap process for copper interconnects.
  85. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  86. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method for depositing an amorphous carbon layer.
  87. Kang, Sean S.; Li, Si Yi; Sadjadi, S. M. Reza, Method for etching silicon carbide.
  88. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  89. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  90. McGlashan-Powell, Maurice; O'Sullivan, Eugene J.; Edelstein, Daniel C., Method for forming an indium cap layer.
  91. McGlashan-Powell, Maurice; O'Sullivan, Eugene J.; Edelstein, Daniel C., Method for forming an indium cap layer.
  92. Mingming Fang ; Shumin Wang, Method for polishing a memory or rigid disk with an amino acid-containing composition.
  93. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  94. Wang, Yuxiang May; Rathi, Sudha S. R.; Kwan, Michael Chiu; M'Saad, Hichem, Method of depositing an amorphous carbon film for etch hardmask application.
  95. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method of depositing an amorphous carbon layer.
  96. Fairbairn,Kevin; Rice,Michael; Weidman,Timothy; Ngai,Christopher S; Latchford,Ian Scot; Bencher,Christopher Dennis; Wang,Yuxiang May, Method of depositing an amorphous carbon layer.
  97. Fairbairn,Kevin; Rice,Michael; Weidman,Timothy; Ngai,Christopher S; Latchford,Ian Scot; Bencher,Christopher Dennis; Wang,Yuxiang May, Method of depositing an amorphous carbon layer.
  98. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  99. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  100. Ko, Jungmin, Method of fin patterning.
  101. Gambino,Jeffrey P.; Motsiff,William T.; Walton,Erick G., Method of manufacturing high performance copper inductors with bond pads.
  102. Li,Si Yi; Zhu,Helen H.; Sadjadi,S. M. Reza; Tietz,James V.; Helmer,Bryan A., Method of plasma etching low-k dielectric materials.
  103. Li, Si Yi; Zhu, Helen H.; Sadjadi, S. M. Reza; Pirkle, David R.; Bowers, James; Goss, Michael, Method of plasma etching of silicon carbide.
  104. Haukka, Suvi; Huotari, Hannu, Method of producing thin films.
  105. Yu, Chen-Hua; Tseng, Horng-Huei, Method of selectively making copper using plating technology.
  106. Adesida, Ilesanmi; Zhou, Ling, Method to metallize ohmic electrodes to P-type group III nitrides.
  107. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  108. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  109. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  110. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  111. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  112. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  113. Lee, Kwangduk Douglas; Rathi, Sudha; Chan, Chiu; Seamons, Martin J.; Kim, Bok Heon, Methods of removing a material layer from a substrate using water vapor treatment.
  114. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  115. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  116. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  117. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  118. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  119. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  120. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  121. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  122. Latchford, Ian; Bencher, Christopher Dennis; Wang, Yuxiang; Silvetti, Mario Dave, Photolithography scheme using a silicon containing resist.
  123. Latchford,Ian; Bencher,Christopher Dennis; Wang,Yuxiang; Silvetti,Mario Dave, Photolithography scheme using a silicon containing resist.
  124. Li,Si Yi; Sadjadi,S. M. Reza; Tietz,James V., Plasma etching of silicon carbide.
  125. Kumar,Devendra; Goundar,Kamal Kishore; Kemeling,Nathanael R. C.; Fukuda,Hideaki; Sprey,Hessel; Stokhof,Maarten, Plasma pre-treating surfaces for atomic layer deposition.
  126. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  127. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  128. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  129. Lin,Zong Huei; Yu,Art; Hsu,Chia Rung; Tsai,Teng Chun, Polish method for semiconductor device planarization.
  130. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  131. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  132. Andreas, Michael T., Post-planarization clean-up.
  133. Andreas, Michael T., Post-planarization clean-up.
  134. Andreas,Michael T., Post-planarization clean-up.
  135. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  136. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  137. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  138. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  139. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  140. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  141. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  142. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  143. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  144. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  145. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  146. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  147. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  148. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  149. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  150. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  151. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  152. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  153. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  154. Hao Fang ; Yue-song He, Re-oxidation approach to improve peripheral gate oxide integrity in a tunnel nitride oxidation process.
  155. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  156. Bencher, Christopher Dennis, Removable amorphous carbon CMP stop.
  157. Bencher, Christopher Dennis, Removable amorphous carbon CMP stop.
  158. Bencher,Christopher Dennis, Removable amorphous carbon CMP stop.
  159. Bencher,Christopher Dennis, Removable amorphous carbon CMP stop.
  160. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  161. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  162. Weidman,Timothy W., Ruthenium containing layer deposition method.
  163. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  164. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  165. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  166. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  167. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  168. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  169. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  170. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  171. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  172. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  173. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  174. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  175. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  176. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  177. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  178. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  179. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  180. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  181. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  182. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  183. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  184. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  185. Fei Wang ; Robin Cheung ; Mark S. Chang ; Richard J. Huang ; Angela T. Hui, Self-aligning vias for semiconductors.
  186. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  187. Nakano, Hiroshi; Itabashi, Takeyuki; Akahoshi, Haruo, Semiconductor device having cobalt alloy film with boron.
  188. Yang, Hui-Chun; Chen, Mei-Ling; Lin, Keng-Chu; Liou, Joung-Wei, Semiconductor devices including conductive features with capping layers and methods of forming the same.
  189. Yang, Hui-Chun; Chen, Mei-Ling; Lin, Keng-Chu; Liou, Joung-Wei, Semiconductor devices including conductive features with capping layers and methods of forming the same.
  190. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  191. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  192. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  193. Cohen, Stephen Alan; Dalton, Timothy Joseph; Fitzsimmons, John Anthony; Gates, Stephen McConnell; Herbst, Brian Wayne; Purushothaman, Sampath; Whitehair, Stanley Joseph, Semiconductor recessed mask interconnect technology.
  194. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  195. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  196. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  197. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  198. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  199. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  200. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  201. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  202. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  203. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  204. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  205. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  206. Haukka, Suvi P.; Raaijmakers, Ivo; Li, Wei Min; Kostamo, Juhana; Sprey, Hessel; Werkhoven, Christiaan J., Thin films.
  207. Haukka,Suvi P.; Raaijmakers,Ivo; Li,Wei Min; Kostamo,Juhana; Sprey,Hessel, Thin films.
  208. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  209. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  210. Lee,Sangheon; Kang,Sean S.; Sadjadi,S M Reza; Deshmukh,Subhash; Kim,Ji Soo, Treatment for corrosion in substrate processing.
  211. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  212. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  213. Ueno, Kazuyoshi; Osaka, Tetsuya; Takano, Nao, ULSI wiring and method of manufacturing the same.
  214. Vandroux,Laurent; Monchoix,Herve, Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides.
  215. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  216. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  217. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로