$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method for producing hydrogenated silicon oxycarbide films having low dielectric constant 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
  • H01L-021/469
출원번호 US-0086811 (1998-05-29)
발명자 / 주소
  • Loboda Mark Jon
  • Seifferly Jeffrey Alan
출원인 / 주소
  • Dow Corning Corporation
대리인 / 주소
    Severance
인용정보 피인용 횟수 : 202  인용 특허 : 13

초록

This invention pertains to a method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant. The method comprises reacting an methyl-containing silane in a controlled oxygen environment using plasma enhanced or ozone assisted chemical vapor deposition to produce t

대표청구항

[ What is claimed is:] [1.] A chemical vapor deposition method for producing hydrogenated silicon oxycarbide films comprisingintroducing a reactive gas mixture comprising a methyl-containing silane and an oxygen providing gas into a deposition chamber containing a substrate and inducing a reaction b

이 특허에 인용된 특허 (13)

  1. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  2. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  3. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  4. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  5. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  6. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  7. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  8. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  9. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  10. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  11. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  12. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  13. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.

이 특허를 인용한 특허 (202)

  1. Teff, Daniel J.; Chagolla, John L., Additives to prevent degradation of cyclic alkene derivatives.
  2. Teff, Daniel J.; Chagolla, John L., Additives to prevent degradation of cyclic alkene derivatives.
  3. Teff, Daniel J.; Chagolla, John L., Additives to prevent degradation of cyclic alkene derivatives.
  4. Teff, Daniel J.; Chagolla, John L., Additives to prevent degradation of cyclic alkene derivatives.
  5. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  6. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  7. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  8. Hurley, Patrick Timothy; Ridgeway, Robert Gordon; Vrtis, Raymond Nicholas; O'Neill, Mark Leonard; Johnson, Andrew David, Antireflective coatings for photovoltaic applications.
  9. Won, Tae Kyung; Shang, Quanyuan; Harshbarger, William R., Application of carbon doped silicon oxide film to flat panel industry.
  10. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  11. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Boron-doped SIC copper diffusion barrier films.
  12. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  13. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  14. Seon-Mee Cho ; Peter Wai-Man Lee ; Chi-I Lang ; Dian Sugiarto ; Chen-An Chen ; Li-Qun Xia ; Shankar Venkataraman ; Ellie Yieh, CVD plasma assisted lower dielectric constant sicoh film.
  15. Ohnishi, Sadayuki; Owto, Kouichi; Usami, Tatsuya; Morita, Noboru; Arita, Kouji; Kitao, Ryouhei; Sasaki, Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  16. Ohnishi,Sadayuki; Ohto,Kouichi; Usami,Tatsuya; Morita,Noboru; Arita,Kouji; Kitao,Ryouhei; Sasaki,Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  17. Ohnishi,Sadayuki; Ohto,Kouichi; Usami,Tatsuya; Morita,Noboru; Arita,Kouji; Kitao,Ryouhei; Sasaki,Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  18. Smith, David A.; Mattzela, James B.; Silvis, Paul H.; Barone, Gary A., Chemical vapor deposition coating, article, and method.
  19. Smith, David A.; Silvis, Paul H., Chemical vapor deposition functionalization.
  20. Yuan, Min; Smith, David A.; Silvis, Paul H.; Mattzela, James B., Chemical vapor deposition process and coated article.
  21. Yin, Zhiping; Iyer, Ravi; Glass, Thomas R.; Holscher, Richard; Niroomand, Ardavan; Somerville, Linda K.; Sandhu, Gurtej S., Circuitry and gate stacks.
  22. Loboda, Mark; Snow, Steven; Weidner, William; Zambov, Ludmil, Coated substrates and methods for their preparation.
  23. Golecki, Ilan, Coatings and method for protecting carbon-containing components from oxidation.
  24. Li,Weimin; Yin,Zhiping, Compositions of matter and barrier layer compositions.
  25. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  26. Passemard, Gerard, Damascene-type interconnection structure and its production process.
  27. Varadarajan, Bhadri N.; Gong, Bo; Yuan, Guangbi; Gui, Zhe; Lai, Fengyuan, Densification of silicon carbide film using remote plasma treatment.
  28. Lu, Hong-Qiang; Burke, Peter A.; Catabay, Wilbur G., Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures.
  29. Lu,Hong Qiang; Burke,Peter A.; Catabay,Wilbur G., Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures.
  30. Yu, Yongsik; Subramonium, Pramod; Fang, Zhiyuan; Henri, Jon; Apen, Elizabeth; Vitkavage, Dan, Diffusion barrier and etch stop films.
  31. Nemani, Srinivas; Xia, Li-Qun; Yieh, Ellie, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  32. Srinivas Nemani ; Li-Qun Xia ; Ellie Yieh, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  33. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  34. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  35. Varadarajan, Bhadri N.; Gong, Bo, Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films.
  36. Cerny, Glenn Allen; Hwang, Byung Keun; Loboda, Mark Jon, H:SiOC coated substrates.
  37. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  38. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; van Schravendijk, Bart, Hardmask materials.
  39. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Hardness improvement of silicon carboxy films.
  40. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  41. Alfred Grill ; Christopher Vincent Jahnes ; Vishnubhai Vitthalbhai Patel ; Laurent Claude Perraud FR, Hydrogenated oxidized silicon carbon material.
  42. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  43. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  44. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  45. Xia,Li Qun; Xu,Huiwen; Witty,Derek R.; M'Saad,Hichem, In-situ oxide capping after CVD low k deposition.
  46. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  47. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  48. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low k dielectrics and etch stops.
  49. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  50. Xia, Li-Qun; Nguyen, Huong Thanh; Yieh, Ellie; Maydan, Dan, Interface with dielectric layer and method of making.
  51. Li,Weimin; Yin,Zhiping; Budge,William, Low K interlevel dielectric layer fabrication methods.
  52. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  53. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  54. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  55. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond.
  56. O'Neill, Mark Leonard; Peterson, Brian Keith; Vincent, Jean Louise; Vrtis, Raymond Nicholas, Low dielectric constant material and method of processing by CVD.
  57. O'Neill,Mark Leonard; Lukas,Aaron Scott; Bitner,Mark Daniel; Vincent,Jean Louise; Vrtis,Raymond Nicholas; Peterson,Brian K., Low dielectric constant material and method of processing by CVD.
  58. Simon Chooi SG; Mei Sheng Zhou SG; Yi Xu SG, Low dielectric constant materials for copper damascene.
  59. Li, Weimin; Yin, Zhiping; Budge, William, Low k interlevel dielectric layer fabrication methods.
  60. Li,Weimin; Yin,Zhiping; Budge,William, Low k interlevel dielectric layer fabrication methods.
  61. Li,Weimin; Yin,Zhiping; Budge,William, Low k interlevel dielectric layer fabrication methods.
  62. Fonash, Stephen J.; Lin, Xin; Reber, Douglas M., Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications.
  63. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-K SiC copper diffusion barrier films.
  64. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Low-k B-doped SiC copper diffusion barrier films.
  65. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-k SiC copper diffusion barrier films.
  66. Yu,Yongsik; Billington,Karen; Tang,Xingyuan; Fu,Haiying; Carris,Michael; Crew,William, Low-k SiC copper diffusion barrier films.
  67. Yu, Yongsik; Gupta, Atul; Billington, Karen; Carris, Michael; Crew, William; Mountsier, Thomas W., Low-k b-doped SiC copper diffusion barrier films.
  68. Hirai, Katsura; Kita, Hiroshi; Arita, Hiroaki, Manufacturing method for thin-film transistor.
  69. Vrtis, Raymond Nicholas; Wu, Dingjun; O'Neill, Mark Leonard; Bitner, Mark Daniel; Vincent, Jean Louise; Karwacki, Jr., Eugene Joseph; Lukas, Aaron Scott, Materials and methods of forming controlled void.
  70. Vrtis, Raymond Nicholas; Wu, Dingjun; O'Neill, Mark Leonard; Bitner, Mark Daniel; Vincent, Jean Louise; Karwacki, Jr., Eugene Joseph; Lukas, Aaron Scott, Materials and methods of forming controlled void.
  71. Vincent, Jean Louise; O'Neill, Mark Leonard; Vrtis, Raymond Nicholas; Lukas, Aaron Scott; Peterson, Brian Keith; Bitner, Mark Daniel, Mechanical enhancer additives for low dielectric films.
  72. Yim,Kang Sub; Sen,Soovo; Sugiarto,Dian; Lee,Peter; Yieh,Ellie, Method and apparatus for deposition of low dielectric constant materials.
  73. Huang, Judy; Bencher, Chris; Rathi, Sudha, Method and apparatus for reducing fixed charges in a semiconductor device.
  74. Huang, Kegang; Huang, Judy H; Xu, Ping, Method and apparatus for treating low k dielectric layers to reduce diffusion.
  75. Gopinath, Venkatesh P.; Kamath, Arvind; Mirabedini, Mohammad R.; Lee, Ming-Yi, Method characterizing materials for a trench isolation structure having low trench parasitic capacitance.
  76. Gaillard, Frederic; Nemani, Srinivas D., Method for depositing a low dielectric constant film.
  77. Xia, Li-Qun; Xu, Ping; Yang, Louis; Huang, Tzu-Fang; Zhu, Wen H., Method for depositing a low k dielectric film (K>3.5) for hard mask application.
  78. Jeong, Hyun-dam; Park, Hee-sook; Shin, Hong-jae; Kim, Byeong-jun, Method for fabricating a multi-layered dielectric layer including insulating layer having Si-CH3 bond therein.
  79. Matsuki, Nobuo; Morisada, Yoshinori; Fukazawa, Atsuki; Kato, Manabu, Method for forming low dielectric constant interlayer insulation film.
  80. Bao,Tien J; Li,Lih Ping; Jang,Syun Ming, Method for forming openings in low-k dielectric layers.
  81. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  82. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  83. Conti,Richard A.; Edelstein,Daniel C.; Lee,Gill Yong, Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications.
  84. Rhee,Shi Woo; Kwak,Sang Ki, Method for preparing low dielectric films.
  85. Loboda,Mark Jon; Hwang,Byung Keun, Method for producing hydrogenated silicon-oxycarbide films.
  86. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  87. Xia Li-qun ; Lim Tian-hoe ; Nguyen Huong Thanh ; Sugiarto Dian, Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber.
  88. Wu,Zhen Cheng; Lu,Yung Chen; Jang,Syun Ming, Method of a non-metal barrier copper damascene integration.
  89. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition.
  90. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition.
  91. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  92. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  93. Lang, Chi-I; Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing a low k dielectric barrier film for copper damascene application.
  94. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  95. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  96. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  97. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  98. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  99. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  100. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  101. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  102. Yau, Wai-Fan; Lee, Ju-Hyung; Chopra, Nasreen Gazala; Huang, Tzu-Fang; Cheung, David; Moghadam, Farhad; Liu, Kuo-Wei; Lu, Yung-Cheng; Willecke, Ralf B.; Matthews, Paul; Sugiarto, Dian, Method of depositing low dielectric constant carbon doped silicon oxide.
  103. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  104. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  105. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  106. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  107. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  108. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  109. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  110. Xu, Ping; Xia, Li-Qun; Dworkin, Larry A.; Naik, Mehul, Method of eliminating photoresist poisoning in damascene applications.
  111. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  112. Kim, Jae-Hak; Shin, Hong-Jae; Lee, Soo-Geun; Lee, Kyoung-Woo, Method of fabricating semiconductor devices having low dielectric interlayer insulation layer.
  113. Chabinyc, Michael L.; Wong, William S.; Street, Robert A.; Paul, Kateri E., Method of fabrication of electronic devices using microfluidic channels.
  114. Towle, Steven N., Method of forming a carbon doped oxide layer on a substrate.
  115. Srinivasan Sundararajan ; Mayur Trivedi, Method of forming a copper diffusion barrier.
  116. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  117. Gopinath,Venkatesh P.; Kamath,Arvind; Mirabedini,Mohammad R.; Lee,Ming Yi, Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance.
  118. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Method of modifying interlayer adhesion.
  119. Schmitt,Francimar Campana; Xia,Li Qun; Nguyen,Son Van; Venkataraman,Shankar, Method of modifying interlayer adhesion.
  120. Campana-Schmitt, Francimar; Schimanke, Carsten, Method of reducing plasma-induced damage.
  121. Cheng Chung Lin TW; Shwang Ming Jeng TW; Lain Jong Li TW, Method to improve the crack resistance of CVD low-k dielectric constant material.
  122. Balseanu, Mihaela; Shek, Meiyee; Xia, Li Qun; M'Saad, Hichem, Method to improve the step coverage and pattern loading for dielectric films.
  123. Balseanu, Mihaela; Xia, Li-Qun; Shek, Mei-Yee; M'Saad, Hichem, Method to improve the step coverage and pattern loading for dielectric films.
  124. Xu, Huiwen; Shek, Mei-Yee; Xia, Li-Qun; Al-Bayati, Amir; Witty, Derek; M'Saad, Hichem, Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics.
  125. Varadarajan, Bhadri N., Method to obtain SiC class of films of desired composition and film properties.
  126. Moghadam, Farhad; Zhao, Jun; Weidman, Timothy; Roberts, Rick J.; Xia, Li-Qun; Demos, Alexandros T., Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices.
  127. Moghadam,Farhad; Zhao,Jun; Weidman,Timothy; Roberts,Rick J.; Xia,Li Quan; Demos,Alexandros T., Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices.
  128. Lu, Hong-Qiang; Burke, Peter A.; Catabay, Wilbur G., Methods and structure for forming copper barrier layers integral with semiconductor substrates structures.
  129. Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Wu, Hui-Jung; van Schravendijk, Bart; Branshaw, Kimberly, Methods for reducing UV and dielectric diffusion barrier interaction.
  130. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  131. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  132. Li, Lihua; Tanaka, Tsutomu; Huang, Tzu-Fang; Xia, Li-Qun; Sugiarto, Dian; Sivaramakrishnan, Visweswaren; Lee, Peter Wai-Man; Silvetti, Mario David, Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics.
  133. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  134. Hyun-dam Jeong KR; Hee-sook Park KR; Hong-jae Shin KR; Byeong-jun Kim KR, Multi-layered dielectric layer including insulating layer having Si-CH3 bond therein and method for fabricating the same.
  135. Alfred Grill ; Vishnubhai Vitthalbhai Patel ; Stephen McConnell Gates, Multiphase low dielectric constant material and method of deposition.
  136. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  137. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  138. Ohto, Koichi; Usami, Tatsuya; Morita, Noboru; Endo, Kazuhiko, Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof.
  139. Baikerikar, Kiran K.; Feng, Shaoguang; Hetzner, Jack E.; Maher, John M.; Mills, Michael E.; Popa, Paul J.; Strittmatter, Richard J.; Wilson, Larry R., Organosilicate resin formulation for use in microelectronic devices.
  140. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  141. Park, Sohyun; Zhu, Wen H.; Huang, Tzu-Fang; Xia, Li-Qun; M'Saad, Hichem, Oxide-like seasoning for dielectric low k films.
  142. Park,Sohyun; Zhu,Wen H.; Huang,Tzu Fang; Xia,Li Qun; M'Saad,Hichem, Oxide-like seasoning for dielectric low k films.
  143. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  144. Shyh-Dar Lee TW; Chung-I Chang TW; Hung-Wen Chiou TW, PECVD process for ULSI ARL.
  145. Jiang, Tongbi; Yin, Zhiping, Passivation layer for packaged integrated circuits.
  146. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  147. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  148. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  149. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  150. Huang,Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  151. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Post-deposition treatment to enhance properties of Si-O-C low K films.
  152. Xia,Li Qun; Gaillard,Frederic; Yieh,Ellie; Lim,Tian H., Post-deposition treatment to enhance properties of Si-O-C low k films.
  153. Jousseaume, Vincent, Process for manufacturing permeable dielectric films.
  154. Weigel, Scott Jeffrey; O'Neill, Mark Leonard; Haas, Mary Kathryn; Matz, Laura M.; Mitchell, Glenn Michael; Wu, Aiping; Vrtis, Raymond Nicholas; Langan, John Giles, Process for restoring dielectric properties.
  155. Mayorga, Steven Gerard; Haas, Mary Kathryn; O'Neill, Mark Leonard; Sinatore, Dino, Process stability of NBDE using substituted phenol stabilizers.
  156. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Yieh, Ellie; Venkataraman, Shankar, Purge heater design and process development for the improvement of low k film properties.
  157. Wu, Hui-Jung; Shafi, Kimberly; Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Dixit, Girish; van Schravendijk, Bart; Apen, Elizabeth, Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties.
  158. Varadarajan, Bhadri, Remote plasma based deposition of SiOC class of films.
  159. Nguyen,Huong Thanh; Barnes,Michael Scott; Xia,Li Qun; Naik,Mehul, Selective etching of organosilicate films over silicon oxide stop etch layers.
  160. Nguyen,Huong Thanh; Barnes,Michael Scott; Xia,Li Qun; Naik,Mehul, Selective etching of organosilicate films over silicon oxide stop etch layers.
  161. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions.
  162. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions having antireflective portions.
  163. Towle, Steven N., Semiconductor device with boron containing carbon doped silicon oxide layer.
  164. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor devices having antireflective material.
  165. Li, Weimin; Yin, Zhiping, Semiconductor devices, and semiconductor processing methods.
  166. Mattzela, James B., Semiconductor fabrication process.
  167. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor processing methods.
  168. Li,Weimin; Li,John Q., Semiconductor processing methods.
  169. Sandhu, Gurtej S.; Sharan, Sujit, Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks.
  170. Sandhu,Gurtej S.; Sharan,Sujit, Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks.
  171. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials.
  172. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  173. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  174. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  175. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  176. Scott Jeffrey DeBoer ; John T. Moore, Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  177. Zhiping Yin ; Ravi Iyer ; Thomas R. Glass ; Richard Holscher ; Ardavan Niroomand ; Linda K. Somerville ; Gurtej S. Sandhu, Semiconductor processing methods, semiconductor circuitry, and gate stacks.
  178. Gopinath, Venkatesh P.; Kamath, Arvind; Mirabedini, Mohammad R.; Lee, Ming Yi, Shallow trench isolation structure with low trench parasitic capacitance.
  179. Saha, Atanu; Joshi, Salil Mohan; Zhang, An-Ping, SiOC membranes and methods of making the same.
  180. Yan, Yushan; Wang, Zhengbao; Wang, Huanting, Silica zeolite low-k dielectric thin films.
  181. Yan, Yushan; Wang, Huanting; Wang, Zhengbao, Silica zeolite low-k dielectric thin films and methods for their production.
  182. Li-Qun Xia ; Paul Fisher ; Margaret Lynn Gotuaco ; Frederic Gaillard FR; Ellie Yieh, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  183. Xia, Li-Qun; Fisher, Paul; Gotuaco, Margaret Lynn; Gaillard, Frederic; Yieh, Ellie, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  184. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low dielectric constant anti-reflective coating.
  185. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating.
  186. Tang, Xingyuan; Fu, Haiying, Silicon carbide having low dielectric constant.
  187. Lakshmanan,Annamalai; Raj,Daemian; Schmitt,Francimar; Kim,Bok Hoen; Balasubramanian,Ganesh, Situ oxide cap layer development.
  188. Yu, Yongsik; van Schravendijk, Bart J.; Shankar, Nagraj; Varadarajan, Bhadri N., Staircase encapsulation in 3D NAND fabrication.
  189. Lakshmanan,Annamalai; Padhi,Deenesh; Balasubramanian,Ganesh; Cui,Zhenjiang David; Raj,Daemian; Rocha Alvarez,Juan Carlos; Schmitt,Francimar; Kim,Bok Hoen, Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile.
  190. DeBoer,Scott Jeffrey; Moore,John T., Structures comprising a layer free of nitrogen between silicon nitride and photoresist.
  191. Ulyashin, Alexander; Bentzen, Andreas; Svensson, Bengt; Holt, Arve; Sauar, Erik, Surface passivation of silicon based wafers.
  192. McDermott, Wayne Thomas; Ockovic, Richard Carl; Roth, Dean Van-John, System and method comprising same for measurement and/or analysis of particles in gas stream.
  193. Xia, Li-Qun; Geiger, Fabrice; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film.
  194. Choi, Joon Hoo; Hong, Wan Shick; Kwon, Dae Jin; Jung, Kwan Wook; Kim, Sang Gab; Jung, Kyu Ha, Thin film transistor array substrate using low dielectric insulating layer and method of fabricating the same.
  195. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  196. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  197. Yim,Kang Sub; Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric P., Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD).
  198. Singh, Vinita; Nemani, Srinivas D.; Zheng, Yi; Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Use of cyclic siloxanes for hardness improvement of low k dielectric films.
  199. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  200. Dussarrat, Christian; Doniat, François; Anderson, Curtis; McAndrew, James J. F., Vapor deposition methods of SiCOH low-k films.
  201. Iijima,Tadashi, Via line barrier and etch stop structure.
  202. McAndrew, James J. F.; Doniat, Francois, Volatile precursors for deposition of C-linked SiCOH dielectrics.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로