$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods to make DRAM fully compatible with SRAM using error correction code (ECC) mechanism 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G11C-029/00
출원번호 US-0989841 (1997-12-12)
발명자 / 주소
  • Shau Jeng-Jye
대리인 / 주소
    Lin
인용정보 피인용 횟수 : 126  인용 특허 : 6

초록

This invention provides practical methods to make a DRAM fully compatible with existing SRAM products. This is accomplished by design and manufacture methods according to the invention, which includes a method to reduce standby power of reference voltage generators and a method to avoid the alpha pa

대표청구항

[ I claim:] [1.]1. An error correction code (ECC) logic circuit for receiving N sets of input data bits D(i, j) and error correction bit C(i), for generating a set of correction bits F(i), where i=1, 2, 3, . . . , N, and j=1, 2, 3, . . . , M, and N and M are positive integers, the error correction c

이 특허에 인용된 특허 (6)

  1. Raynham Michael (Los Gatos CA), Dram on-chip error correction/detection.
  2. Tanner Robert M. (Capitola CA), Error-correcting coding system.
  3. Leak Daniel P. (Wichita KS) Kloeppner John R. (Wichita KS), Method and apparatus for generating Reed-Soloman error correcting code across multiple word boundaries.
  4. Mattes Heinz (Munich DEX), Method in a parallel test apparatus for semiconductor memories.
  5. Suzuki Atsushi (Kawasaki JPX) Nagashima Masashi (Yokohama JPX), Parity check logic circuit.
  6. Sandoh Fumio (Tochigi JPX) Tomizuka Kazuo (Meiwa JPX), Semiconductor integrated circuit with shield electrodes for protecting the interconnection lines from undesirable radiat.

이 특허를 인용한 특허 (126)

  1. Smith, Michael John Sebastian; Rosenband, Daniel L.; Wang, David T.; Rajan, Suresh Natarajan, Adjusting the timing of signals associated with a memory system.
  2. Smith, Michael John; Rosenband, Daniel L.; Wang, David T.; Rajan, Suresh Natarajan, Adjusting the timing of signals associated with a memory system.
  3. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Apparatus for simulating an aspect of a memory circuit.
  4. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Combined signal delay and power saving for use with a plurality of memory circuits.
  5. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Configurable memory circuit system and method.
  6. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Configurable memory circuit system and method.
  7. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastien; Wang, David T.; Weber, Frederick Daniel, Configurable memory circuit system and method.
  8. Rajan, Suresh Natarajan; Wang, David T., Configurable memory system with interface circuit.
  9. Rajan, Suresh Natarajan; Wang, David T., Configurable multirank memory system with interface circuit.
  10. Park,Kee; Chu,Scott Yu Fan, Content addressable memory (CAM) arrays having memory cells therein with different susceptibilities to soft errors.
  11. Lien, Chuen-Der; Park, Kee; Wu, Chau-Chin; Baumann, Mark, Content addressable memory (CAM) devices having error detection and correction control circuits therein and methods of operating same.
  12. Branth,Kenneth; Park,Kee; Chu,Scott Yu Fan; Diede,Thomas, Content addressable memory (CAM) devices having multi-block error detection logic and entry selective error correction logic therein.
  13. Lien,Chuen Der; Miller,Michael; Wu,Chau Chin; Park,Kee; Chu,Scott Yu Fan, Content addressable memory (CAM) devices that support background BIST and BISR operations and methods of operating same.
  14. Park, Kee; Lien, Chuen-Der, Content addressable memory (CAM) devices with dual-function check bit cells that support column redundancy and check bit cells with reduced susceptibility to soft errors.
  15. Argyres, Dimitri, Content addressable memory array having virtual ground nodes.
  16. Krishnamurthy, Ganesh; Argyres, Dimitri, Content addressable memory row having virtual ground and charge sharing.
  17. Rajan, Suresh Natarajan; Schakel, Keith R; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Delaying a signal communicated from a system to at least one of a plurality of memory circuits.
  18. Klein, Dean A., Digit line comparison circuits.
  19. Klein, Dean A., Digit line comparison circuits.
  20. Zohni, Wael O.; Schmidt, William L.; Smith, Michael John Sebastian; Plunkett, Jeremy Matthew, Embossed heat spreader.
  21. Zohni, Wael O.; Schmidt, William; Smith, Michael J. S.; Plunkett, Jeremy Matthew, Embossed heat spreader.
  22. Smith, Michael John Sebastian; Rajan, Suresh Natarajan; Wang, David T, Emulation of abstracted DIMMS using abstracted DRAMS.
  23. Smith, Michael J. S.; Rajan, Suresh Natarajan; Wang, David T., Emulation of abstracted DIMMs using abstracted DRAMs.
  24. Argyres, Dimitri, Fast quaternary content addressable memory cell.
  25. Rosenband, Daniel L.; Weber, Frederick Daniel; Smith, Michael John Sebastian, Hybrid memory module.
  26. Rosenband, Daniel L.; Weber, Frederick Daniel; Smith, Michael John Sebastian, Hybrid memory module.
  27. Yamada,Satoru; Nagai,Ryo; Oyu,Kiyonori; Nakamura,Ryoichi; Takaura,Norikatsu, Hybrid semiconductor device having an n+ (p) doped n-type gate and method of producing the same.
  28. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits.
  29. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit.
  30. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Interface circuit system and method for performing power saving operations during a command-related latency.
  31. Klein, Dean A., Low power cost-effective ECC memory system and method.
  32. Klein,Dean A., Low power cost-effective ECC memory system and method.
  33. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory apparatus operable to perform a power-saving operation.
  34. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory apparatus operable to perform a power-saving operation.
  35. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory circuit simulation system and method with refresh capabilities.
  36. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory circuit simulation with power saving capabilities.
  37. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory circuit system and method.
  38. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory circuit system and method.
  39. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory circuit system and method.
  40. Klein, Dean A., Memory controller method and system compensating for memory cell data losses.
  41. Klein, Dean A., Memory controller method and system compensating for memory cell data losses.
  42. Klein, Dean A., Memory controller method and system compensating for memory cell data losses.
  43. Klein,Dean A., Memory controller method and system compensating for memory cell data losses.
  44. Klein,Dean A., Memory controller method and system compensating for memory cell data losses.
  45. Klein,Dean A., Memory controller method and system compensating for memory cell data losses.
  46. Klein,Dean A., Memory controller method and system compensating for memory cell data losses.
  47. Farrell, Todd D.; Schaefer, Scott E., Memory device operable in either a high-power, full-page size mode or a low-power, reduced-page size mode.
  48. Farrell, Todd D.; Schaefer, Scott E., Memory device operable in either a high-power, full-page size mode or a low-power, reduced-page size mode.
  49. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory device with emulated characteristics.
  50. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael J. S.; Wang, David T.; Weber, Frederick Daniel, Memory module with memory stack and interface with enhanced capabilites.
  51. Rajan, Suresh N.; Schakel, Keith R; Smith, Michael J. S.; Wang, David T; Weber, Frederick Daniel, Memory module with memory stack and interface with enhanced capabilities.
  52. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael J. S.; Wang, David T.; Weber, Frederick Daniel, Memory module with memory stack and interface with enhanced capabilities.
  53. Smith, Michael John Sebastian; Rajan, Suresh Natarajan, Memory modules with reliability and serviceability functions.
  54. Schakel, Keith R.; Rajan, Suresh Natarajan; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory refresh apparatus and method.
  55. Schakel, Keith R.; Rajan, Suresh Natarajan; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Memory refresh apparatus and method.
  56. Klein,Dean A., Memory system and method having selective ECC during low power refresh.
  57. Klein, Dean A.; Schreck, John, Memory system and method using ECC to achieve low power refresh.
  58. Klein,Dean A.; Schreck,John, Memory system and method using ECC to achieve low power refresh.
  59. Pawlowski, J. Thomas; Schreck, John, Memory system and method using ECC with flag bit to identify modified data.
  60. Pawlowski, J. Thomas; Schreck, John F., Memory system and method using ECC with flag bit to identify modified data.
  61. Pawlowski, J. Thomas; Schreck, John F., Memory system and method using ECC with flag bit to identify modified data.
  62. Pawlowski, J. Thomas, Memory system and method using partial ECC to achieve low power refresh and fast access to data.
  63. Pawlowski, J. Thomas, Memory system and method using partial ECC to achieve low power refresh and fast access to data.
  64. Pawlowski, J. Thomas, Memory system and method using partial ECC to achieve low power refresh and fast access to data.
  65. Wang, David T.; Rajan, Suresh Natarajan, Memory system for synchronous data transmission.
  66. Smith, Michael J. S.; Rajan, Suresh Natarajan, Memory systems and memory modules.
  67. Smith, Michael John Sebastian; Rajan, Suresh Natarajan, Memory systems and memory modules.
  68. Srikantam,Vamsi K.; Kopley,Thomas E., Method and apparatus for defining an input state vector that achieves low power consumption in digital circuit in an idle state.
  69. Klein, Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  70. Klein, Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  71. Klein,Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  72. Klein,Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  73. Klein,Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  74. Klein,Dean A., Method and system for controlling refresh to avoid memory cell data losses.
  75. Klein,Dean A., Method and system for dynamically operating memory in a power-saving error correcting mode.
  76. Klein, Dean A., Method and system for dynamically operating memory in a power-saving error correction mode.
  77. Morgan, Donald M.; Blodgett, Greg A., Method and system for low power refresh of dynamic random access memories.
  78. Morgan,Donald M.; Blodgett,Greg A., Method and system for low power refresh of dynamic random access memories.
  79. Morgan,Donald M.; Blodgett,Greg A., Method and system for low power refresh of dynamic random access memories.
  80. Brown, Terry C., Method and system for reducing volatile DRAM power budget.
  81. Morgan,Donald M.; Blodgett,Greg A., Method for low power refresh of a dynamic random access memory using a slower refresh rate than a normal refresh rate.
  82. Publ, Rudy; Kisela, David; Myers, Gary, Method of operation for a recycler assembly.
  83. Rajan, Suresh N., Methods and apparatus of stacking DRAMs.
  84. Rajan, Suresh N.; Smith, Michael J. S.; Wang, David T, Methods and apparatus of stacking DRAMs.
  85. Rajan, Suresh Natarajan; Smith, Michael John, Multi-rank partial width memory modules.
  86. Rajan, Suresh Natarajan; Smith, Michael John Sebastian, Multi-rank partial width memory modules.
  87. Rajan, Suresh Natarajan; Smith, Michael John Sebastian, Multi-rank partial width memory modules.
  88. Publ, Rudy; Kisela, David; Myers, Gary, Odor mitigation in a recycler assembly.
  89. Wang, Min; Ferolito, Philip Arnold; Rajan, Suresh Natarajan; Smith, Michael John Sebastian, Optimal channel design for memory devices for providing a high-speed memory interface.
  90. Wang, Min; Ferolito, Philip Arnold; Rajan, Suresh Natarajan; Smith, Michael John Sebastian, Optimal channel design for memory devices for providing a high-speed memory interface.
  91. Publ, Rudy; Kisela, David; Rothwell, Tim; Merz, Greg; Myers, Gary, Parts washer with recycler assembly.
  92. Rajan, Suresh Natarajan; Smith, Michael John Sebastian; Wang, David T., Performing error detection on DRAMs.
  93. Rajan, Suresh Natarajan; Smith, Michael John Sebastian; Wang, David T., Power management of memory circuits by virtual memory simulation.
  94. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Power saving system and method for use with a plurality of memory circuits.
  95. Ferolito, Philip Arnold; Rosenband, Daniel L.; Wang, David T.; Smith, Michael John Sebastian, Programming of DIMM termination resistance values.
  96. Argyres, Dimitri, Quaternary content addressable memory cell having one transistor pull-down stack.
  97. Publ, Rudy; Kisela, David; Rothwell, Tim; Merz, Greg; Myers, Gary, Recycler assembly.
  98. Publ, Rudy; Kisela, David; Rothwell, Tim; Merz, Greg; Myers, Gary, Recycler module for a recycler assembly.
  99. Cowles, Timoty B.; Shore, Michael A.; Mullarkey, Patrick J., Refresh controller and address remapping circuit and method for dual mode full/reduced density DRAMS.
  100. Cowles, Timoty B.; Shore, Michael A.; Mullarkey, Patrick J., Refresh controller and address remapping circuit and method for dual mode full/reduced density DRAMs.
  101. Cowles, Timoty B.; Shore, Michael A.; Mullarkey, Patrick J., Refresh controller and address remapping circuit and method for dual mode full/reduced density DRAMs.
  102. Schakel, Keith R.; Rajan, Suresh Natarajan; Smith, Michael John Sebastian; Wang, David T., Refresh management of memory modules.
  103. Publ, Rudy; Kisela, David; Rothwell, Tim; Merz, Greg, Reservoir module for a recycler assembly.
  104. Koga,Mitsuhiro; Shinya,Hiroshi, Semiconductor integrated circuit device and error checking and correcting method thereof.
  105. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Simulating a different number of memory circuit devices.
  106. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Simulating a memory standard.
  107. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Simulating a memory standard.
  108. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Simulating a refresh operation latency.
  109. Publ, Rudy; Kisela, David; Rothwell, Tim; Merz, Greg, Solvent recycler.
  110. Fjelstad, Joseph C., Stackable low-profile lead frame package.
  111. Wang, David T.; Rajan, Suresh Natarajan, Stacked DIMM memory interface.
  112. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits.
  113. Danilak, Radoslav; Smith, Michael J. S.; Rajan, Suresh, System and method for increasing capacity, performance, and flexibility of flash storage.
  114. Danilak, Radoslav; Smith, Michael J. S.; Rajan, Suresh, System and method for increasing capacity, performance, and flexibility of flash storage.
  115. Danilak, Radoslav; Smith, Michael J. S.; Rajan, Suresh, System and method for increasing capacity, performance, and flexibility of flash storage.
  116. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for power management in memory systems.
  117. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for reducing command scheduling constraints of memory circuits.
  118. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for reducing command scheduling constraints of memory circuits.
  119. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for simulating an aspect of a memory circuit.
  120. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, System and method for simulating an aspect of a memory circuit.
  121. Rajan, Suresh Natarajan, System including memory stacks.
  122. Argyres, Dimitri, Ternary content addressable memory cell having single transistor pull-down stack.
  123. Argyres, Dimitri, Ternary content addressable memory cell having two transistor pull-down stack.
  124. Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Wang, David T.; Weber, Frederick Daniel, Translating an address associated with a command communicated between a system and memory circuits.
  125. Wang, David T.; Rajan, Suresh Natarajan; Schakel, Keith R.; Smith, Michael John Sebastian; Weber, Frederick Daniel, Translating an address associated with a command communicated between a system and memory circuits.
  126. Huang,Chien Hua, Voltage transfer circuit.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로