$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of forming copper/copper alloy interconnection with reduced electromigration 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/44
출원번호 US-0207680 (1998-12-09)
발명자 / 주소
  • Nogami Takeshi
  • Lopatin Sergey
  • Joo Young-Chang
출원인 / 주소
  • Advanced Micro Devices, Inc.
인용정보 피인용 횟수 : 219  인용 특허 : 6

초록

The electromigration of a Cu or Cu alloy interconnection member is reduced by annealing the seed layer before electroplating or electroless plating the Cu or Cu alloy interconnection member on the seed layer. Embodiments include depositing a Cu or Cu alloy seed layer, annealing at about 100.degree.

대표청구항

[ What is claimed is:] [1.]1. A method comprising:depositing a seed layer having an as-deposited first grain size;annealing the seed layer to increase its grain size to a second grain size greater than the first grain size; andelectroplating or electroless plating copper (Cu) or a Cu alloy on the an

이 특허에 인용된 특허 (6)

  1. Lopatin Sergey ; Nogami Takeshi ; Cheung Robin W. ; Woo Christy Mei-Chu ; Morales Guarionex, Copper/low dielectric interconnect formation with reduced electromigration.
  2. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  3. Nogami Takeshi ; Chan Simon, Method for reducing electromigration in a copper interconnect.
  4. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  5. Nogami Takeshi,JPX ; Brown Dirk D. ; Lopatin Sergey, Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish.
  6. Dubin Valery ; Ting Chiu ; Cheung Robin W., Pulse electroplating copper or copper alloys.

이 특허를 인용한 특허 (219)

  1. Cohen, Uri, Advanced seed layers for interconnects.
  2. Cohen,Uri, Advanced seed layery for metallic interconnects.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Chikarmane,Vinay B.; Tsang,Chi Hwa, Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing.
  11. Cohen, Uri, Apparatus for depositing seed layers.
  12. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  13. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  14. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  15. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  16. Chang, Chung-Liang; Hsieh, Ching-Hua; Shue, Shau-Lin, Barrier material and process for Cu interconnect.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Lubomirsky, Dmitry, Chamber with flow-through source.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  23. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  24. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  25. Cohen, Uri, Combined conformal/non-conformal seed layers for metallic interconnects.
  26. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  27. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  28. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  29. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  30. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  31. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  32. Wang, Pin-Chin C.; Lopatin, Sergey, Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  35. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  36. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  37. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  38. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  39. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  40. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  41. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  42. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  43. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  44. Hong, Zhongshan, Electrical interconnection structure and fabrication method thereof.
  45. Chen, Chih; Tu, King-Ning; Liu, Taochi, Electrodeposited nano-twins copper layer and method of fabricating the same.
  46. Chen, Chih; Tu, King-Ning; Liu, Taochi, Electrodeposited nano-twins copper layer and method of fabricating the same.
  47. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  48. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  49. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  50. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  51. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  52. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  53. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  54. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  55. Noguchi, Junji; Ohashi, Naohumi; Saito, Tatsuyuki, Fabrication method for semiconductor integrated circuit device.
  56. Noguchi, Junji; Asaka, Shoji; Konishi, Nobuhiro; Ohashi, Naohumi; Maruyama, Hiroyuki, Fabrication method of semiconductor integrated circuit device.
  57. Noguchi,Junji; Asaka,Shoji; Konishi,Nobuhiro; Ohashi,Naohumi; Maruyama,Hiroyuki, Fabrication method of semiconductor integrated circuit device.
  58. Chowdhury, Shaestagir; Tsang, Chi Hwa, Filling narrow and high aspect ratio openings with electroless deposition.
  59. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  60. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  61. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  62. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  63. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  64. Yang, Chih-Chao; Ponoth, Shom, Grain growth promotion layer for semiconductor interconnect structures.
  65. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  66. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  67. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  68. Briggs, Benjamin D.; Clevenger, Lawrence A.; Rizzolo, Michael; Yang, Chih-Chao, Hybrid metal interconnects with a bamboo grain microstructure.
  69. Briggs, Benjamin D.; Clevenger, Lawrence A.; Rizzolo, Michael; Yang, Chih-Chao, Hybrid metal interconnects with a bamboo grain microstructure.
  70. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  72. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  73. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  74. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  75. Yang, Chih Chao; Edelstein, Daniel C., Interconnect structure with grain growth promotion layer and method for forming the same.
  76. Hong, Zhongshan, Interconnection structure.
  77. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  78. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  79. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  80. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  81. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  82. Cohen, Uri, Metallic interconnects products.
  83. Chen,B. Michelle; Shin,Ho Seon; Dordi,Yezdi; Morad,Ratson; Cheung,Robin, Method and apparatus for annealing copper films.
  84. Uzoh, Cyprian Emeka; Talieh, Homayoun, Method and apparatus for depositing and controlling the texture of a thin film.
  85. Morad, Ratson; Shin, Ho Seon; Cheung, Robin; Kogan, Igor, Method and apparatus for heating and cooling substrates.
  86. Bresler,Joel; Raffel,Jack, Method and system for locating position in printed texts and delivering multimedia information.
  87. Park, Chankeun; Hah, Sangrok; Chung, Juhyuck; Son, Hongseong; Park, Byunglyul, Method for creating a damascene interconnect using a two-step electroplating process.
  88. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  89. Andricacos, Panayotis Constantinou; Cabral, Jr., Cyril; Cotte, John Michael; Gignac, Lynne; Horkans, Wilma Jean; Rodbell, Kenneth Parker, Method for forming interconnects on semiconductor substrates and structures formed.
  90. Panayotis Constantinou Andricacos ; Cyril Cabral, Jr. ; John Michael Cotte ; Lynne Gignac ; Wilma Jean Horkans ; Kenneth Parker Rodbell, Method for forming interconnects on semiconductor substrates and structures formed.
  91. Harada, Takeshi, Method for forming wiring structure which includes annealing conductive film before and after removal of a portion of the conductive film.
  92. Suzuki,Kenji, Method for integrated substrate processing in copper metallization.
  93. Suzuki, Kenji; Jomen, Miho; Rullan, Jonathan, Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device.
  94. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  95. Kobayashi, Akiko; Sekiguchi, Atsushi; Koide, Tomoaki; Zhang, Minjuan; Sunayama, Hideki; Xiao, Shiqin; Suzuki, Kaoru, Method for the formation of copper wiring films.
  96. Ko, Jungmin, Method of fin patterning.
  97. Cheung, Robin; Chen, Liang-Yuh, Method of forming copper interconnects.
  98. Suzuki, Kenji, Method of forming low-resistivity recessed features in copper metallization.
  99. Oshima, Takayuki; Miyazaki, Hiroshi; Aoki, Hideo; Ohmori, Kazutoshi, Method of forming semiconductor device including silicon oxide with fluorine, embedded wiring layer, via holes, and wiring grooves.
  100. Nogami, Takeshi; Shaw, Thomas M.; Simon, Andrew H.; Wynne, Jean E.; Yang, Chih-Chao, Method of making a copper interconnect having a barrier liner of multiple metal layers.
  101. Wolters,Robertus Adrianus Maria; Van Graven Claassen,Anouk Maria, Method of manufacturing an electronic device.
  102. Ikenoue,Hiroshi; Kaneko,Hisashi; Hatano,Masaaki; Yamashita,Soichi; Yoda,Takashi; Sekine,Makoto, Method of producing semiconductor device.
  103. Besser, Paul R.; Zhao, Larry, Method of selectively alloying interconnect regions by deposition process.
  104. Besser, Paul R.; Zhao, Larry; Wu, Donggang David, Method of selectively alloying interconnect regions by ion implantation.
  105. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  106. Dubin, Valery M.; Xu, Xingling; Tao, Yingxiang; Blanchard, James D., Methods for electroplating copper.
  107. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  108. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  109. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  110. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  111. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  112. Cohen,Uri, Multiple seed layers for interconnects.
  113. Briggs, Benjamin D.; Clevenger, Lawrence A.; Rizzolo, Michael; Yang, Chih-Chao, Neutral atom beam nitridation for copper interconnect.
  114. Briggs, Benjamin D.; Clevenger, Lawrence A.; Rizzolo, Michael; Yang, Chih-Chao, Neutral atom beam nitridation for copper interconnect.
  115. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  116. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  117. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  118. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  119. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  120. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  121. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  122. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  123. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  124. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  125. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  126. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  127. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  128. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  129. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  130. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  133. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  134. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  135. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  136. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  137. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  138. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  139. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  140. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  141. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  142. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  143. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  144. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  145. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  146. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  147. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  148. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  149. Weidman,Timothy W., Ruthenium containing layer deposition method.
  150. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  151. Cohen, Uri, Seed layers for metallic interconnects.
  152. Cohen,Uri, Seed layers for metallic interconnects.
  153. Cohen, Uri, Seed layers for metallic interconnects and products.
  154. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  155. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  156. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  157. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  158. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  159. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  160. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  161. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  162. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  163. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  164. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  165. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  166. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  167. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  168. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  169. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  170. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  171. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  172. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  173. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  174. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  175. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  176. Hironaga,Nobuo; Takewaki,Toshiyuki; Kunishima,Hiroyuki; Yamamoto,Yoshiaki, Semiconductor device and manufacturing method for the same.
  177. Kunishima,Hiroyuki; Takewaki,Toshiyuki, Semiconductor device and manufacturing method thereof.
  178. Kunishima, Hiroyuki; Takewaki, Toshiyuki, Semiconductor device including dissimilar element-diffused metal layer and manufacturing method thereof.
  179. Noguchi,Junji; Ohashi,Naohumi; Saito,Tatsuyuki, Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device.
  180. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  181. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  182. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchii, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  183. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchii, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  184. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchii, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  185. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchii, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  186. Noguchi, Junji; Ohashi, Naofumi; Takeda, Kenichi; Saito, Tatsuyuki; Yamaguchii, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device.
  187. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  188. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  189. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  190. Feustel, Frank; Letz, Tobias; Peters, Carsten, Semiconductor structure comprising an electrically conductive feature and method of forming a semiconductor structure.
  191. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  192. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  193. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  194. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  195. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  196. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  197. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  198. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  199. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  200. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  201. Edwards,Darvin R.; Chiu,Tz Cheng; Zeng,Kejun, Solder joints for copper metallization having reduced interfacial voids.
  202. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  203. Baik, Chan Wook; Kim, Jong Seok; Kim, Sun Il; Son, Young Mok, Substrate structure and method of forming the same.
  204. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  205. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  206. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  207. Yang, Chih-Chao; Edelstein, Daniel C., Tungsten metallization: structure and fabrication of same.
  208. Yang, Chih-Chao; Edelstein, Daniel C., Tungsten metallization: structure and fabrication of same.
  209. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  210. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  211. Mok,Yeuk Fai Edwin; Nguyen,Son T., Two position anneal chamber.
  212. Woo, Christy Mei-Chu; Wang, Connie Pin-Chin; Avanzino, Steve C., Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability.
  213. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  214. Sergey D. Lopatin ; John A. Iacoponi, Variable grain size in conductors for semiconductor vias and trenches.
  215. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  216. Suzuki, Kenji; Ishizaka, Tadahiro; Jomen, Miho; Rullan, Jonathan, Void-free copper filling of recessed features for semiconductor devices.
  217. Suzuki, Kenji; Gomi, Atsushi; Jomen, Miho, Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer.
  218. Uzoh, Cyprian E.; Basol, Bulent M., Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same.
  219. Uzoh,Cyprian E.; Basol,Bulent M., Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로