$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

In-situ electroless copper seed layer enhancement in an electroplating system 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C25D-017/00
출원번호 US-0350877 (1999-07-09)
발명자 / 주소
  • Cheung Robin
  • Carl Daniel A.
  • Dordi Yezdi
  • Hey Peter
  • Morad Ratson
  • Chen Liang-Yuh
  • Smith Paul F.
  • Sinha Ashok K.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson, L.L.P.
인용정보 피인용 횟수 : 217  인용 특허 : 14

초록

The present invention discloses a system that provides for electroless deposition performed in-situ with an electroplating process to minimize oxidation and other contaminants prior to the electroplating process. The system allows the substrate to be transferred from the electroless deposition proce

대표청구항

[ What is claimed is:] [1.]1. A system for depositing a conductive layer on a substrate, comprising:an electroplating processing mainframe having a transfer robot and one or more electroplating cells;an electroless deposition applicator coupled to the mainframe, the applicator comprising a nozzle po

이 특허에 인용된 특허 (14)

  1. Kellam Mark, Aluminum-palladium alloy for initiation of electroless plating.
  2. Cheung Robin ; Sinha Ashok ; Tepman Avi ; Carl Dan, Apparatus for electro-chemical deposition with thermal anneal chamber.
  3. Katou Mariko (Tokyo JPX), Apparatus for metal plating.
  4. Dubin Valery M. ; Shacham-Diamand Yosef ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications.
  5. Semkow Krystyna W. ; O'Sullivan Eugene J., Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating.
  6. Goldstein Rachel (Givataim CT ILX) Kukanskis Peter E. (Watertown CT) Grunwald John J. (New Haven CT), Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence.
  7. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  8. Huggins Alan H. ; MacPherson John, Method of customizing integrated circuits by selective secondary deposition of layer interconnect material.
  9. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  10. Kloiber Allan J. (Marshall Township ; Allegheny County PA) Bubien Gary G. (Center PA) Osmanski Gerald S. (Brighton Township ; Beaver County PA), Modular apparatus and method for surface treatment of parts with liquid baths.
  11. Reynolds H. Vincent, Plating cell with horizontal product load mechanism.
  12. Calabrese Gary S. (North Andover MA) Calvert Jeffrey M. (Burke VA) Chen Mu-San (Ellicott MD) Dressick Walter J. (Fort Washington MD) Dulcey Charles S. (Washington DC) Georger ; Jr. Jacque H. (Holden , Selective metallization process.
  13. Ritzdorf Thomas L. ; Turner Jeffrey I. ; Graham Lyndon W., Semiconductor plating bowl and method using anode shield.
  14. Hanson Kyle M., Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces.

이 특허를 인용한 특허 (217)

  1. Contolini, Robert J.; McCutcheon, Andrew J., Adjustable flange for plating and electropolishing thickness profile control.
  2. Cohen, Uri, Advanced seed layers for interconnects.
  3. Cohen,Uri, Advanced seed layery for metallic interconnects.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  10. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  11. Yang, Michael X.; Kovarsky, Nicolay Y., Anolyte for copper plating.
  12. Cohen, Uri, Apparatus for depositing seed layers.
  13. Emesh,Ismail; Chadda,Saket, Apparatus for electrochemically depositing a material onto a workpiece surface.
  14. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  15. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  16. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  17. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Ellwanger,Russell; Pancham,Ian A.; Cheboli,Ramakrishna, Apparatus for electroless deposition of metals onto semiconductor substrates.
  18. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  19. Tietz,James V.; Li,Shijian; Birang,Manoocher; White,John M.; Rosenberg, legal representative,Sandra L.; Scales,Marty; Emami,Ramin; Rosenberg, deceased,Lawrence M., Article for polishing semiconductor substrates.
  20. Li,Shijian; Chen,Llang Yuh; Duboust,Alain, Articles for polishing semiconductor substrates.
  21. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  22. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  23. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  24. Lubomirsky, Dmitry, Chamber with flow-through source.
  25. Lubomirsky, Dmitry, Chamber with flow-through source.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  28. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  29. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  30. Cohen, Uri, Combined conformal/non-conformal seed layers for metallic interconnects.
  31. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  32. Mavliev,Rashid A.; Wadensweiler,Ralph M., Conductive pad.
  33. Mavliev, Rashid A.; Karuppiah, Lakshmanan, Conductive pad design modification for better wafer-pad contact.
  34. Chen,Liang Yun; Wang,Yuchun; Wang,Yan; Duboust,Alain; Carl,Daniel A.; Wadensweiler,Ralph; Birang,Manoocher; Butterfield,Paul D.; Mavliev,Rashid A.; Tsai,Stan D.; Wang,You; Diao,Jie; Jia,Renhe; Karuppiah,Lakshmanan; Ewald,Robert, Conductive pad with ion exchange membrane for electrochemical mechanical polishing.
  35. Butterfield,Paul D.; Chen,Liang Yuh; Hu,Yongqi; Manens,Antoine P.; Mavliev,Rashid; Tsai,Stan D.; Liu,Feng Q.; Wadensweiler,Ralph, Conductive polishing article for electrochemical mechanical polishing.
  36. Butterfield,Paul D.; Chen,Liang Yuh; Hu,Yonqi; Manens,Antoine P.; Mavliev,Rashid; Tsai,Stan D.; Liu,Feng Q.; Wadensweiler,Ralph; Sun,Lizhong; Neo,Siew S.; Duboust,Alain, Conductive polishing article for electrochemical mechanical polishing.
  37. Chen,Liang Yuh; Wang,Yuchun; Wang,Yan; Duboust,Alain; Carl,Daniel A.; Wadensweiler,Ralph; Birang,Manoocher; Butterfield,Paul D.; Mavliev,Rashid; Tsai,Stan D., Conductive polishing article for electrochemical mechanical polishing.
  38. Chen,Liang Yuh; Wang,Yuchun; Wang,Yan; Duboust,Alain; Carl,Daniel A.; Wadensweiler,Ralph; Birang,Manoocher; Butterfield,Paul D.; Mavliev,Rashid; Tsai,Stan D., Conductive polishing article for electrochemical mechanical polishing.
  39. Hu, Yongqi; Wang, Yan; Duboust, Alain; Liu, Feng Q.; Mavliev, Rashid; Chen, Liang-Yuh; Morad, Ratson; Somekh, Sasson, Conductive polishing article for electrochemical mechanical polishing.
  40. Hu,Yongqi; Wang,Yan; Duboust,Alain; Liu,Feng Q.; Manens,Antoine P.; Neo,Siew S.; Tsai,Stan D.; Chen,Liang Yuh; Butterfield,Paul D.; Tian,Yuan A.; Ko,Sen Hou, Conductive polishing article for electrochemical mechanical polishing.
  41. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  42. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  43. Liu, Feng Q.; Mavliev, Rashid, Contact assembly and method for electrochemical mechanical processing.
  44. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  45. Butterfield, Paul; Chen, Liang Yuh; Hu, Yongqi; Manens, Antoine; Mavliev, Rashid; Tsai, Stan, Contacts for electrochemical processing.
  46. Butterfield,Paul; Chen,Liang Yuh; Hu,Yongqi; Manens,Antoine; Mavliev,Rashid; Tsai,Stan, Contacts for electrochemical processing.
  47. Mavliev,Rashid; Tsai,Stan; Hu,Yongqi; Butterfield,Paul; Manens,Antoine; Chen,Liang Yuh, Contacts for electrochemical processing.
  48. Verbunt, Han, Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication.
  49. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  50. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  51. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  52. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  53. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  54. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  55. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  56. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  57. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  58. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  59. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  60. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  61. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  62. Duboust,Alain; Manens,Antoine P.; Chen,Liang Yuh, Edge bead removal by an electro polishing process.
  63. Yang,Michael X.; Lubomirsky,Dmitry; Dordi,Yezdi; Singh,Saravjeet; Tulshibagwale,Sheshraj; Kovarsky,Nicolay, Electrochemical processing cell.
  64. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  65. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  66. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  67. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  68. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  69. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  70. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating reactor.
  71. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  72. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  73. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  74. Wang, Yuchun; Ewald, Robert A.; Hsu, Wei-Yung; Chen, Liang-Yuh, Extended pad life for ECMP and barrier removal.
  75. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  76. Dordi, Yezdi N.; Stevens, Joseph J.; Hey, H. Peter W.; Olgado, Donald J. K., Flow diffuser to be used in electro-chemical plating system and method.
  77. Thie, William; Boyd, John M.; Redeker, Fritz C.; Dordi, Yezdi; Parks, John; Arunagiri, Tiruchirapalli; Owczarz, Aleksander; Balisky, Todd; Thomas, Clint; Wylie, Jacob; Schoepp, Alan M., Fluid handling system for wafer electroless plating and associated methods.
  78. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  79. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  80. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  81. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  82. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  83. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  84. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  85. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  86. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  87. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  88. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  89. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  90. Ashjaee, Jalal; Talieh, Homayoun, Integrated system for processing semiconductor wafers.
  91. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  92. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  93. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  94. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  95. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  96. Cohen, Uri, Metallic interconnects products.
  97. Chen,B. Michelle; Shin,Ho Seon; Dordi,Yezdi; Morad,Ratson; Cheung,Robin, Method and apparatus for annealing copper films.
  98. Wang, Yan; Neo, Siew; Liu, Feng; Tsai, Stan D.; Hu, Yongqi; Duboust, Alain; Manens, Antoine; Wadensweiler, Ralph M.; Mavliev, Rashid; Chen, Liang-Yuh; Olgado, Donald J. K.; Butterfield, Paul D.; Tseng, Ming-Kuei; Chang, Shou-Sung; Sun, Lizhong, Method and apparatus for electrochemical mechanical processing.
  99. Morad, Ratson; Shin, Ho Seon; Cheung, Robin; Kogan, Igor, Method and apparatus for heating and cooling substrates.
  100. Thie, William; Boyd, John M.; Redeker, Fritz C.; Dordi, Yezdi; Parks, John; Arunagiri, Tiruchirapalli; Owczarz, Aleksander; Balisky, Todd; Thomas, Clint; Wylie, Jacob; Schoepp, Alan M., Method and apparatus for wafer electroless plating.
  101. Thie, William; Boyd, John M.; Redeker, Fritz C.; Dordi, Yezdi; Parks, John; Arunagiri, Tiruchirapalli; Owczarz, Aleksander; Balisky, Todd; Thomas, Clint; Wylie, Jacob; Schoepp, Alan M., Method and apparatus for wafer electroless plating.
  102. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  103. Chen, Linlin; Lu, Jiong-Ping; Xia, Changfeng, Method for forming a conductive copper structure.
  104. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  105. Ko, Jungmin, Method of fin patterning.
  106. Tsao,Jung Chin; Liu,Chi Wen; Feng,Hsien Ping; Cheng,Hsi Kuei; Lin,Steven; Cheng,Min Yuan, Method to eliminate plating copper defect.
  107. Woodruff, Daniel J.; Hanson, Kyle M.; Oberlitner, Thomas H.; Chen, LinLin; Pedersen, John M.; Zila, Vladimir, Methods and apparatus for processing the surface of a microelectronic workpiece.
  108. Woodruff, Daniel J.; Hanson, Kyle M.; Oberlitner, Thomas H.; Chen, LinLin; Pedersen, John M.; Zila, Vladimir, Methods and apparatus for processing the surface of a microelectronic workpiece.
  109. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  110. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  111. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  112. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  113. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  114. Cohen, Uri, Methods for making multiple seed layers for metallic interconnects.
  115. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  116. Moore, Robert B.; Silvetti, David; Wirth, Paul; Harris, Randy, Microelectronic substrate electro processing system.
  117. Moore, Robert B.; Silvetti, David; Wirth, Paul; Harris, Randy, Microelectronic substrate electro processing system.
  118. Chen, Guan-Shian; Yang, Michael X., Modular electrochemical processing system.
  119. Cohen,Uri, Multiple seed layers for interconnects.
  120. Test, Howard R.; Klonis, Homer B., Nickel plating process having controlled hydrogen concentration.
  121. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  122. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  123. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  124. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  125. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  126. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  127. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  128. Chang,Shou Sung; Tsai,Stan D.; Olgado,Donald J. K.; Chen,Liang Yuh; Duboust,Alain; Wadensweiler,Ralph M., Pad assembly for electrochemical mechanical polishing.
  129. Chang,Shou Sung; Tsai,Stan D.; Olgado,Donald J. K.; Chen,Liang Yuh; Duboust,Alain; Wadensweiler,Ralph M., Pad assembly for electrochemical mechanical processing.
  130. Chang,Shou Sung; Tsai,Stan D; Olgado,Donald J. K.; Chen,Liang Yuh; Duboust,Alain; Wadensweiler,Ralph M., Pad assembly for electrochemical mechanical processing.
  131. Hu,Yongqi; Tsai,Stan D.; Wang,Yan; Liu,Feng Q.; Chang,Shou Sung; Chen,Liang Yuh, Pad assembly for electrochemical mechanical processing.
  132. Hu,Yongqi; Tsai,Stan D.; Wang,Yan; Liu,Feng Q.; Chang,Shou Sung; Chen,Liang Yuh, Pad assembly for electrochemical mechanical processing.
  133. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  134. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  135. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  136. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  137. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  138. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  139. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  140. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  141. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  142. Manens,Antoine P.; Chen,Liang Yuh, Process control in electrochemically assisted planarization.
  143. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  144. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  145. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  146. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  147. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  148. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  149. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  150. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  151. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  152. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  153. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  154. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  155. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  156. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  157. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  158. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  159. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  160. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  161. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  162. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  163. Cohen, Uri, Seed layers for metallic interconnects.
  164. Cohen,Uri, Seed layers for metallic interconnects.
  165. Cohen, Uri, Seed layers for metallic interconnects and products.
  166. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  167. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  168. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  169. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  170. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  171. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  172. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  173. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  174. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  175. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  176. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  177. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  178. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  179. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  180. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  181. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  182. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  183. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  184. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  185. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  186. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  187. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  188. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  189. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  190. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  191. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  192. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  193. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  194. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  195. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  196. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  197. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  198. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  199. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  200. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  201. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  202. Wan,Chang Feng, Stepping actuator and method of manufacture therefore.
  203. Kasuga, Takashi; Oka, Yoshio; Uemura, Shigeaki; Park, Jinjoo; Ueda, Hiroshi; Miura, Kousuke, Substrate for printed circuit board, printed circuit board, and method for producing printed circuit board.
  204. Kasuga, Takashi; Oka, Yoshio; Nakayama, Shigeyoshi; Park, Jinjoo; Uehara, Sumito; Miura, Kousuke; Ueda, Hiroshi, Substrate for printed circuit board, printed circuit board, and method for producing substrate for printed circuit board.
  205. Kasuga, Takashi; Oka, Yoshio; Park, Jinjoo; Uehara, Sumito; Miura, Kousuke; Ueda, Hiroshi, Substrate for printed circuit board, printed circuit board, and method for producing substrate for printed circuit board.
  206. Hongo,Akihisa; Ogure,Naoaki; Inoue,Hiroaki; Sendai,Satoshi; Ikegami,Tetsuma; Mishima,Koji; Okuyama,Shuichi; Nagai,Mizuki; Kimizuka,Ryoichi; Maruyama,Megumi, Substrate plating method and apparatus.
  207. Wan,Chang Feng, System and method of fabricating micro cavities.
  208. Wan,Chang Feng, System and method of fabricating micro cavities.
  209. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  210. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  211. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  212. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  213. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  214. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  215. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  216. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  217. Harris, Randy A.; Windham, Michael, Wafer electroplating chuck assembly.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로