$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

CVD plasma assisted low dielectric constant films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/505
출원번호 US-0162915 (1998-09-29)
발명자 / 주소
  • Cheung David
  • Yau Wai-Fan
  • Mandal Robert R.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson
인용정보 피인용 횟수 : 140  인용 특허 : 76

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adja

대표청구항

[ What is claimed is:] [1.]1. A method for depositing a low dielectric constant film on a semiconductor substrate, comprising reacting a compound with an oxidizing gas while applying RF power to deposit the low dielectric constant film on the semiconductor substrate, wherein the compound comprises o

이 특허에 인용된 특허 (76)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  3. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  4. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  5. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  6. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  7. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  8. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  9. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  10. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  11. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  12. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  13. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  14. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  15. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  16. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  17. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  18. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  19. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  20. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  21. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  22. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Low dielectric constant materials and method.
  23. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  24. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  25. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  26. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  27. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  28. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  29. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  30. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  31. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  32. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  33. Kudo Hiroshi,JPX ; Shinohara Rika,JPX, Method for forming insulating film.
  34. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  35. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  36. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  37. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  38. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  39. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  40. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  41. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  42. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  43. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  44. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  45. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  46. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  47. Sugahara Gaku,JPX ; Aoi Nobuo,JPX ; Arai Koji,JPX ; Sawada Kazuyuki,JPX, Method of forming interlayer insulating film.
  48. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  49. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  50. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  51. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  52. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  53. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  54. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  55. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  56. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  57. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  58. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  59. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  60. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  61. Malba Vincent, Process for 3D chip stacking.
  62. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  63. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  64. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  65. Jain Ajay, Process for forming a semiconductor device.
  66. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  67. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  68. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  69. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  70. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  71. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  72. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  73. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  74. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  75. Matsuura Masazumi,JPX, Semiconductor device organic insulator film.
  76. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.

이 특허를 인용한 특허 (140)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Dube, Abhishek; Chu, Schubert S.; Kachian, Jessica S.; Thompson, David; Anthis, Jeffrey, Apparatus and method for selective deposition.
  5. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  6. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  7. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  8. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  9. Cho, Seon-Mee; Lee, Peter Wai-Man; Lang, Chi-I; Sugiarto, Dian; Chen, Chen-An; Xia, Li-Qun; Venkataraman, Shankar; Yieh, Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  10. Cho,Seon Mee; Lee,Peter Wai Man; Lang,Chi I; Sugiarto,Dian; Chen,Chen An; Xia,Li Qun; Venkataraman,Shankar; Yieh,Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  11. Seon-Mee Cho ; Peter Wai-Man Lee ; Chi-I Lang ; Dian Sugiarto ; Chen-An Chen ; Li-Qun Xia ; Shankar Venkataraman ; Ellie Yieh, CVD plasma assisted lower dielectric constant sicoh film.
  12. Golecki, Ilan, Coatings and method for protecting carbon-containing components from oxidation.
  13. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  14. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  15. Xu, Ping; Lee, Jia; Lou, Ishing; Xia, Li-Qun, Fluorine-containing layers for damascene structures.
  16. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  17. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Hardness improvement of silicon carboxy films.
  18. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  19. Fu, Haiying; Wong, Ka Shun; Tang, Xingyuan; Huang, Judy Hsiu-Chih; van Schravendijk, Bart Jan, Hermetic silicon carbide.
  20. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  21. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  22. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  23. Berry, III, Ivan Louis; Chung, Kyuha; Han, Qingyuan; Liu, Youfan; Moyer, Eric Scott; Spaulding, Michael John, High modulus, low dielectric constant coatings.
  24. Berry, III, Ivan Louis; Chung, Kyuha; Han, Qingyuan; Liu, Youfan; Moyer, Eric Scott; Spaulding, Michael John, High modulus, low dielectric constant coatings.
  25. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  26. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  27. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  28. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  29. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  30. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low k dielectrics and etch stops.
  31. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  32. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes.
  33. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes.
  34. Stowell, Michael W., Localized linear microwave source array pumping to control localized partial pressure in flat and 3 dimensional PECVD coatings.
  35. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  36. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  37. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  38. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  39. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond.
  40. Shioya, Yoshimi; Nishimoto, Yuhko; Suzuki, Tomomi; Ikakura, Hiroshi; Maeda, Kazuo, Manufacturing method of semiconductor device.
  41. Vincent, Jean Louise; O'Neill, Mark Leonard; Vrtis, Raymond Nicholas; Lukas, Aaron Scott; Peterson, Brian Keith; Bitner, Mark Daniel, Mechanical enhancer additives for low dielectric films.
  42. Yim,Kang Sub; Sen,Soovo; Sugiarto,Dian; Lee,Peter; Yieh,Ellie, Method and apparatus for deposition of low dielectric constant materials.
  43. Huang, Judy; Bencher, Chris; Rathi, Sudha, Method and apparatus for reducing fixed charges in a semiconductor device.
  44. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Venkataraman, Shankar, Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy.
  45. Harvey, Keith R.; Lim, Tian-Hoe; Xia, Li-Qun, Method for densification of CVD carbon-doped silicon oxide films through UV irradiation.
  46. Gaillard, Frederic; Nemani, Srinivas D., Method for depositing a low dielectric constant film.
  47. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  48. San, Nelson Loke Chou; Satoh, Kiyoshi, Method for forming integrated dielectric layers.
  49. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  50. Loboda,Mark Jon; Hwang,Byung Keun, Method for producing hydrogenated silicon-oxycarbide films.
  51. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  52. Hsieh, Tsung-Tang; Tsai, Cheng-Yuan; Wu, Hsin-Chang; Huang, Chih-An, Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer.
  53. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition.
  54. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  55. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  56. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  57. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  58. Lang, Chi-I; Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing a low k dielectric barrier film for copper damascene application.
  59. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  60. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  61. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  62. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  63. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  64. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  65. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  66. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  67. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  68. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  69. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  70. Huang,Tzu Fang; Lu,Yung Cheng; Xia,Li Qun; Yieh,Ellie; Yau,Wai Fan; Cheung,David W.; Willecke,Ralf B.; Liu,Kuowei; Lee,Ju Hyung; Moghadam,Farhad K.; Ma,Yeming Jim, Method of depositing low k films.
  71. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  72. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  73. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  74. Weidman, Timothy; Bekiaris, Nikolaos; Chang, Josephine; Nguyen, Phong H., Method of forming a dual damascene structure using an amorphous silicon hard mask.
  75. Bekiaris,Nikolaos; Weidman,Timothy; Armacost,Michael D.; Naik,Mehul B., Method of forming a dual damascene structure utilizing a three layer hard mask structure.
  76. Miyano, Junichi; Toshikawa, Kiyohiko; Motoyama, Yoshikazu, Method of forming a low dielectric constant film with tetramethylcyclotetrasiloxane (TMCTS) and LPCVD technique.
  77. Zhiqiang Wu ; Paul Hatab, Method of forming field effect transistors and related field effect transistor constructions.
  78. Goundar,Kamal Kishore; Kumakura,Tadashi; Satoh,Kiyoshi, Method of forming silicon carbide films.
  79. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  80. Barnes, Michael; M'Saad, Hichem; Nguyen, Huong Thanh; Moghadam, Farhad, Method of making a fluoro-organosilicate layer.
  81. Hongning Yang ; David Russell Evans ; Sheng Teng Hsu, Method of making low-K carbon doped silicon oxide.
  82. Chang, Ting-Chang; Liu, Po-Tsun; Mor, Yi-Shien, Method of repairing a low dielectric constant material layer.
  83. Lin, Cheng Chung; Li, Lain-Jong, Method to improve stability and reliability of CVD low K dielectric.
  84. Liu, Yijun; Xu, Huiwen; Xia, Li-Qun; Peterson, Chad; M'Saad, Hichem, Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film.
  85. Geiger, Fabrice; Gaillard, Frederic, Methods for forming self-planarized dielectric layer for shallow trench isolation.
  86. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  87. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  88. Wu, Zhiqiang; Hatab, Paul, Methods of forming field effect transistors and related field effect transistor constructions.
  89. Zhiqiang Wu ; Paul Hatab, Methods of forming field effect transistors and related field effect transistor constructions.
  90. Zhiqiang Wu ; Paul Hatab, Methods of forming field effect transistors and related field effect transistor constructions.
  91. Zhiqiang Wu ; Paul Hatab, Methods of forming field effect transistors and related field effect transistor constructions.
  92. Gao, Jinsheng; Jaeger, Daniel; Aquilino, Michael; Carpenter, Patrick; Hong, Junsic; Dechene, Jessica; Huang, Haigou, Methods, apparatus, and system for reducing step height difference in semiconductor devices.
  93. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  94. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  95. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  96. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  97. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  98. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  99. Chuang,Ping; Lo,Henry; Zhou,Mei Shang, Planarizing method employing hydrogenated silicon nitride planarizing stop layer.
  100. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  101. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  102. Berry, III, Ivan L.; Bridgewater, Todd; Chen, Wei; Han, Qingyuan; Moyer, Eric S.; Spaulding, Michael J.; Waldfried, Carlo, Plasma curing process for porous silica thin film.
  103. Law,Kam S.; Shang,Quanyuan; Takehara,Takako; Won,Taekyung; Harshbarger,William R.; Maydan,Dan, Plasma display panel with a low K dielectric layer.
  104. Law, Kam S.; Shang, Quanyuan; Takehara, Takako; Won, Taekyung; Harshbarger, William R.; Maydan, Dan, Plasma display panel with a low k dielectric layer.
  105. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Yu, Ying; Venkataraman, Shankar; Nemani, Srinivas D.; Xia, Li-Qun, Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power.
  106. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  107. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  108. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  109. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  110. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  111. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  112. Huang,Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  113. Matson,Robert S., Polymer-coated substrates for immobilization of biomolecules and cells.
  114. Halliyal, Arvind; Singh, Bhanwar; Subramanian, Ramkumar, Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique.
  115. Sandhu, Gurtej S.; Li, Li, Removal of carbon from an insulative layer using ozone.
  116. Zhiqiang Wu ; Paul Hatab, Seismic imaging using omni-azimuth seismic energy sources and directional sensing.
  117. Leung, Terry; Zheng, Qiqun; Hsieh, Chang-Lin; Ye, Yan; Komatsu, Takehiko, Selective etching of low-k dielectrics.
  118. Shioya, Yoshimi; Nishimoto, Yuhko; Maeda, Kazuo; Suzuki, Tomomi; Ikakura, Hiroshi, Semiconductor device and method of manufacturing the same.
  119. Shioya, Yoshimi; Nishimoto, Yuhko; Suzuki, Tomomi; Maeda, Kazuo, Semiconductor device and method of manufacturing the same.
  120. Shioya, Yoshimi; Ohira, Kouichi; Maeda, Kazuo; Suzuki, Tomomi; Ikakura, Hiroshi; Yamamoto, Youichi, Semiconductor device and method of manufacturing the same.
  121. Li-Qun Xia ; Paul Fisher ; Margaret Lynn Gotuaco ; Frederic Gaillard FR; Ellie Yieh, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  122. Xia, Li-Qun; Fisher, Paul; Gotuaco, Margaret Lynn; Gaillard, Frederic; Yieh, Ellie, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  123. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  124. Wu, Zhen-Cheng; Lu, Yung-Cheng; Jang, Syun-Ming, Structure for improving interlevel conductor connections.
  125. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  126. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  127. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  128. Sudijono, John; Hsia, Liang Choo; Huang, Liu, Use of amorphous carbon as a removable ARC material for dual damascene fabrication.
  129. Singh, Vinita; Nemani, Srinivas D.; Zheng, Yi; Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Use of cyclic siloxanes for hardness improvement of low k dielectric films.
  130. Scott Hendrickson, Vaporization of precursors at point of use.
  131. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  132. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  133. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  134. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  135. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  136. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  137. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  138. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  139. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  140. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로