$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plasma processes for depositing low dielectric constant films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/505
출원번호 US-0185555 (1998-11-04)
발명자 / 주소
  • Cheung David
  • Yau Wai-Fan
  • Mandal Robert P.
  • Jeng Shin-Puu
  • Liu Kuo-Wei
  • Lu Yung-Cheng
  • Barnes Michael
  • Willecke Ralf B.
  • Moghadam Farhad
  • Ishikawa Tetsuya
  • Poon Tze Wing
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson
인용정보 피인용 횟수 : 203  인용 특허 : 76

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10 W to about 200 W or a pulsed RF power level from about 20 W to about 500 W. Dissociation of the oxidizing gas can be increased

대표청구항

[ What is claimed is:] [1.]1. A process for depositing a low dielectric constant film on a semiconductor substrate, comprising reacting one or more silicon compounds with an oxidizing gas while applying RF power to deposit the low dielectric constant film on the semiconductor substrate, wherein each

이 특허에 인용된 특허 (76)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  3. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  4. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  5. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  6. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  7. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  8. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  9. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  10. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  11. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  12. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  13. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  14. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  15. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  16. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  17. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  18. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  19. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  20. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  21. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  22. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Low dielectric constant materials and method.
  23. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  24. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  25. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  26. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  27. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  28. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  29. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  30. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  31. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  32. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  33. Kudo Hiroshi,JPX ; Shinohara Rika,JPX, Method for forming insulating film.
  34. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  35. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  36. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  37. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  38. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  39. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  40. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  41. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  42. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  43. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  44. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  45. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  46. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  47. Sugahara Gaku,JPX ; Aoi Nobuo,JPX ; Arai Koji,JPX ; Sawada Kazuyuki,JPX, Method of forming interlayer insulating film.
  48. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  49. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  50. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  51. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  52. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  53. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  54. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  55. Yamashita Atsuko,JPX, Method of manufacturing semiconductor device having multilayer wiring structure, with improved version of step of formi.
  56. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  57. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  58. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  59. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  60. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  61. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  62. Malba Vincent, Process for 3D chip stacking.
  63. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  64. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  65. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  66. Jain Ajay, Process for forming a semiconductor device.
  67. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  68. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  69. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  70. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  71. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  72. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  73. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  74. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  75. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  76. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.

이 특허를 인용한 특허 (203)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Li, Lain-Jong; Lee, Shen-Nan, Adhesion enhancement between CVD dielectric and spin-on low-k silicate films.
  3. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  4. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  5. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  6. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  7. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  8. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  9. Xu, Ping; Bencher, Christopher Dennis, Bi-layer capping of low-K dielectric films.
  10. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  11. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  12. Cho, Seon-Mee; Lee, Peter Wai-Man; Lang, Chi-I; Sugiarto, Dian; Chen, Chen-An; Xia, Li-Qun; Venkataraman, Shankar; Yieh, Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  13. Cho,Seon Mee; Lee,Peter Wai Man; Lang,Chi I; Sugiarto,Dian; Chen,Chen An; Xia,Li Qun; Venkataraman,Shankar; Yieh,Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  14. Seon-Mee Cho ; Peter Wai-Man Lee ; Chi-I Lang ; Dian Sugiarto ; Chen-An Chen ; Li-Qun Xia ; Shankar Venkataraman ; Ellie Yieh, CVD plasma assisted lower dielectric constant sicoh film.
  15. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  16. Yates, Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  17. Yates, Donald L., Compositions for use in semiconductor devices.
  18. Yates, Donald L., Compositions for use in semiconductor devices.
  19. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  20. Raaijmakers,Ivo; Haukka,Suvi P.; Saanila,Yille A.; Soininen,Pekka J.; Elers,Kai Erik; Granneman,Ernst H. A., Conformal lining layers for damascene metallization.
  21. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  22. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  23. Guoqiang Xing ; Ping Jiang, Damascene cap layer process for integrated circuit interconnects.
  24. Vulpio, Michele, Deposition method of dielectric films having a low dielectric constant.
  25. Vulpio, Michele, Deposition method of dielectric films having a low dielectric constant.
  26. Todd, Michael A., Deposition of amorphous silicon-containing films.
  27. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  28. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  29. Raaijmakers,Ivo; Soininen,Pekka J.; Maes,Jan Willem, Dielectric layers and methods of forming the same.
  30. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  31. Bauer, Matthias, Epitaxial deposition of doped semiconductor materials.
  32. Xu, Ping; Lee, Jia; Lou, Ishing; Xia, Li-Qun, Fluorine-containing layers for damascene structures.
  33. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  34. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  35. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  36. Ngo, Minh Van; Avanzino, Steven C.; Woo, Christy Mei-Chu; Sanchez, John E., Graded low-k middle-etch stop layer for dual-inlaid patterning.
  37. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Hardness improvement of silicon carboxy films.
  38. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  39. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  40. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  41. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  42. Bauer, Matthias, High throughput cyclical epitaxial deposition and etch process.
  43. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  44. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  45. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  46. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  47. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  48. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes.
  49. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes.
  50. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  51. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  52. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  53. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  54. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  55. Vincent, Jean Louise; O'Neill, Mark Leonard; Vrtis, Raymond Nicholas; Lukas, Aaron Scott; Peterson, Brian Keith; Bitner, Mark Daniel, Mechanical enhancer additives for low dielectric films.
  56. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  57. Yim,Kang Sub; Sen,Soovo; Sugiarto,Dian; Lee,Peter; Yieh,Ellie, Method and apparatus for deposition of low dielectric constant materials.
  58. Pore, Viljami, Method and apparatus for filling a gap.
  59. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  60. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  61. Darin S. Olson ; Tirunelveli S. Ravi ; Richard S. Swope ; Jerrod Paul Krebs, Method and apparatus for use of hydrogen and silanes in plasma.
  62. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  63. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  64. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  65. Gaillard, Frederic; Nemani, Srinivas D., Method for depositing a low dielectric constant film.
  66. Xia, Li-Qun; Xu, Ping; Yang, Louis; Huang, Tzu-Fang; Zhu, Wen H., Method for depositing a low k dielectric film (K>3.5) for hard mask application.
  67. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  68. Kang, DongSeok, Method for depositing thin film.
  69. Engelhardt, Manfred; Weinrich, Volker; Kreupl, Franz; Schiele, Manuela, Method for fabricating a semiconductor memory component.
  70. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  71. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  72. Don Carl Powell ; Garry Anthony Mercaldi ; Ronald A. Weimer, Method for forming a barrier layer.
  73. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  74. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  75. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  76. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  77. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  78. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  79. Morrow, Patrick, Method for making a dual damascene interconnect using a dual hard mask.
  80. Kojima, Akihiro; Miyajima, Hideshi, Method for manufacturing a semiconductor device and a semiconductor device.
  81. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  82. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  83. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  84. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  85. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  86. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  87. Hsieh, Tsung-Tang; Tsai, Cheng-Yuan; Wu, Hsin-Chang; Huang, Chih-An, Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer.
  88. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  89. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  90. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  91. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  92. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  93. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  94. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  95. Ha, Jeongseok, Method of depositing film with tailored comformality.
  96. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  97. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  98. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  99. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  100. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  101. Huang,Tzu Fang; Lu,Yung Cheng; Xia,Li Qun; Yieh,Ellie; Yau,Wai Fan; Cheung,David W.; Willecke,Ralf B.; Liu,Kuowei; Lee,Ju Hyung; Moghadam,Farhad K.; Ma,Yeming Jim, Method of depositing low k films.
  102. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  103. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  104. Xu, Ping; Xia, Li-Qun; Dworkin, Larry A.; Naik, Mehul, Method of eliminating photoresist poisoning in damascene applications.
  105. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  106. Weidman, Timothy; Bekiaris, Nikolaos; Chang, Josephine; Nguyen, Phong H., Method of forming a dual damascene structure using an amorphous silicon hard mask.
  107. Bekiaris,Nikolaos; Weidman,Timothy; Armacost,Michael D.; Naik,Mehul B., Method of forming a dual damascene structure utilizing a three layer hard mask structure.
  108. Miyano, Junichi; Toshikawa, Kiyohiko; Motoyama, Yoshikazu, Method of forming a low dielectric constant film with tetramethylcyclotetrasiloxane (TMCTS) and LPCVD technique.
  109. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  110. Broekaart, Marcel Eduard Irene; Guelen, Josephus Franciscus Antonius Maria; Gerritsen, Eric, Method of forming an etch stop layer in a semiconductor device.
  111. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  112. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  113. Barnes, Michael; M'Saad, Hichem; Nguyen, Huong Thanh; Moghadam, Farhad, Method of making a fluoro-organosilicate layer.
  114. Andideh, Ebrahim; Peterson, Kevin L., Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface.
  115. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  116. Hongning Yang ; David Russell Evans ; Sheng Teng Hsu, Method of making low-K carbon doped silicon oxide.
  117. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  118. Cheng Chung Lin TW; Shwang Ming Jeng TW; Lain Jong Li TW, Method to improve the crack resistance of CVD low-k dielectric constant material.
  119. Liu, Yijun; Xu, Huiwen; Xia, Li-Qun; Peterson, Chad; M'Saad, Hichem, Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film.
  120. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  121. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  122. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  123. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  124. Bauer, Matthias, Methods of depositing electrically active doped crystalline Si-containing films.
  125. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  126. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  127. Bauer, Matthias; Weeks, Keith Doran; Tomasini, Pierre; Cody, Nyles, Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition.
  128. Bauer,Matthias; Weeks,Keith Doran; Tomasini,Pierre; Cody,Nyles, Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition.
  129. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  130. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  131. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  132. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  133. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  134. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  135. Nguyen, Huong Thanh; Kim, Yunsang; Yieh, Ellie; Xia, Li-Qun, Optical marker layer for etch endpoint determination.
  136. Wang, Qing Min; Ma, Ce, Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film.
  137. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  138. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  139. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  140. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  141. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  142. Law,Kam S.; Shang,Quanyuan; Takehara,Takako; Won,Taekyung; Harshbarger,William R.; Maydan,Dan, Plasma display panel with a low K dielectric layer.
  143. Law, Kam S.; Shang, Quanyuan; Takehara, Takako; Won, Taekyung; Harshbarger, William R.; Maydan, Dan, Plasma display panel with a low k dielectric layer.
  144. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  145. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  146. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  147. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  148. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  149. Lim, Christopher; Ng, Siu Choon; Chan, Hardy; Chooi, Simon; Zhou, Mei Sheng, Poly(arylene ether) dielectrics.
  150. Lim,Christopher; Ng,Siu Choon; Chan,Hardy; Chooi,Simon; Zhou,Mei Sheng, Poly(arylene ether) dielectrics.
  151. Lim,Christopher; Ng,Siu Choon; Chan,Hardy; Chooi,Simon; Zhou,Mei Sheng, Poly(arylene ether) dielectrics.
  152. Lim,Christopher; Ng,Siu Choon; Chan,Hardy; Chooi,Simon; Zhou,Mei Sheng, Poly(arylene ether) dielectrics.
  153. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  154. Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  155. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  156. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  157. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  158. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  159. Bauer, Matthias; Arena, Chantal; Bertram, Ronald; Tomasini, Pierre; Cody, Nyles; Brabant, Paul; Italiano, Joseph; Jacobson, Paul; Weeks, Keith Doran, Selective deposition of silicon-containing films.
  160. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductive films.
  161. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  162. Leung, Terry; Zheng, Qiqun; Hsieh, Chang-Lin; Ye, Yan; Komatsu, Takehiko, Selective etching of low-k dielectrics.
  163. Zhu, Chiyu, Selective film deposition method to form air gaps.
  164. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  165. Shioya,Yoshimi; Nishimoto,Yuhko; Maeda,Kazuo, Semiconductor device and process for producing the same.
  166. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  167. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  168. Bauer, Mathias, Separate injection of reactive species in selective formation of films.
  169. Bauer, Matthias, Separate injection of reactive species in selective formation of films.
  170. Li-Qun Xia ; Paul Fisher ; Margaret Lynn Gotuaco ; Frederic Gaillard FR; Ellie Yieh, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  171. Xia, Li-Qun; Fisher, Paul; Gotuaco, Margaret Lynn; Gaillard, Frederic; Yieh, Ellie, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  172. Ngo, Minh Van; Woo, Christy Mei-Chu, Silicon oxide liner for reduced nickel silicide bridging.
  173. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  174. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  175. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  176. Thomas, Shawn; Tomasini, Pierre, Stressor for engineered strain on channel.
  177. Bauer, Matthias, Structure comprises an As-deposited doped single crystalline Si-containing film.
  178. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  179. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  180. Aggarwal, Ravinder; Conner, Rand; Disanto, John; Alexander, James A., Substrate reactor with adjustable injectors for mixing gases within reaction chamber.
  181. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  182. Powell,Don Carl; Mercaldi,Garry Anthony; Weimer,Ronald A., System and device including a barrier layer.
  183. Powell,Don Carl; Mercaldi,Garry Anthony; Weimer,Ronald A., System and device including a barrier layer.
  184. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  185. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  186. Todd, Michael A.; Raaijmakers, Ivo, Thin films and methods of making them.
  187. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  188. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  189. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  190. Grill, Alfred; Medeiros, David R.; Patel, Vishnubhai V., Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same.
  191. Singh, Vinita; Nemani, Srinivas D.; Zheng, Yi; Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Use of cyclic siloxanes for hardness improvement of low k dielectric films.
  192. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  193. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  194. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
  195. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  196. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  197. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  198. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  199. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  200. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  201. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  202. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  203. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로