$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method to deposit SiOCH films with dielectric constant below 3.0 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/3205
  • H01L-021/31
출원번호 US-0735318 (2000-12-12)
발명자 / 주소
  • Patrick A. Van Cleemput
  • Ravi Kumar Laxman
  • Jen Shu
  • Michelle T. Schulberg
  • Bunsen Nie
출원인 / 주소
  • Novellus Systems, Inc.
대리인 / 주소
    Skjerven Morrill MacPherson LLP
인용정보 피인용 횟수 : 102  인용 특허 : 10

초록

A chemical vapor deposition (CVD) process uses a precursor gas, such as with a siloxane or alkylsilane, and a carbon-dioxide-containing gas, such as CO2 with O2 or CO2 with CxH(2x+1)OH where 1.ltoreq.x.ltoreq.5, to deposit a dielectric layer with no photoresist "footing", a low dielectric constant,

대표청구항

1. A process for depositing a dielectric layer in a chemical vapor deposition (CVD) chamber, comprising:providing a semiconductor substrate in said CVD chamber; introducing a precursor gas into said CVD chamber; introducing a CO2-containing gas into said CVD chamber; and depositing said dielectric l

이 특허에 인용된 특허 (10)

  1. Varaprath Sudarsanan (Midland MI) Stark Forrest O. (Midland MI) Michael Keith W. (Midland MI), Amorphous silicon hermetic coatings for optical wave guides.
  2. Kimura Yuji (Yokohama JPX) Ohta Eiichi (Kawasaki JPX) Kondo Hitoshi (Machida JPX) Takahashi Masaetsu (Yokohama JPX) Kameyama Kenji (Sagamihara JPX) Yamada Katsuyuki (Mishima JPX), Method of driving an LCD employing combining two voltages which change polarity at different times in a frame.
  3. Lim Mahn-Jick (Lower Makefield Township ; Bucks County PA), Method of forming silicon dioxide.
  4. Yau Wai-Fan ; Cheung David ; Chopra Nasreen Gazala ; Lu Yung-Cheng ; Mandal Robert ; Moghadam Farhad, Method of improving moisture resistance of low dielectric constant films.
  5. Ouellet Luc (Granby CAX), Multi-level interconnection CMOS devices with SOG.
  6. Ouellet Luc (Granby CAX), Preventing of via poisoning by glow discharge induced desorption.
  7. Li Yao-En ; Paganessi Joseph E. ; Vassallo David ; Fleming Gregory K., Process and system for separation and recovery of perfluorocompound gases.
  8. Sakurai Shinya,JPX ; Kobayashi Yukio,JPX, Process for producing surface-modified rubber, surface-modified rubber, and sealing material.
  9. Levy Roland A. ; Ramos Emmanuel S.,PHX, Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds.
  10. Gavalas George R. (Altadena CA) Megiris Constantin E. (Eemnes NLX), Synthesis of SiO2 membrane on porous support and method of use of same.

이 특허를 인용한 특허 (102)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  5. Varadarajan, Bhadri N.; McLaughlin, Kevin M.; van Schravendijk, Bart, Carbon containing low-k dielectric constant recovery using UV treatment.
  6. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  7. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  8. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  9. Draeger, Nerissa S.; Ray, Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  10. Draeger,Nerissa S.; Gray,Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  11. Wong, Lawrence D., Electron-beam treated CDO films.
  12. Kim, Taewan; Yim, Kang Sub; Demos, Alexandros T., Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties.
  13. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  14. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  15. McLaughlin, Kevin M.; Pharkya, Amit; Reddy, Kapu Sirish, Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing.
  16. Vincent, Jean Louise; O'Neill, Mark Leonard; Vrtis, Raymond Nicholas; Lukas, Aaron Scott; Peterson, Brian Keith; Bitner, Mark Daniel, Mechanical enhancer additives for low dielectric films.
  17. Cho,Seon Mee; Srinivasan,Easwar; Lu,Brian G.; Mordo,David, Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties.
  18. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Venkataraman, Shankar, Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy.
  19. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  20. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  21. Fox, Keith; Srinivasan, Easwar; Mordo, David; Wu, Qingguo, Method for improving mechanical properties of low dielectric constant materials.
  22. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  23. Kelman, Maxim; Shrinivasan, Krishnan; Wang, Feng; Lu, Victor; Chang, Sean; Lu, Guangquan, Method for reducing stress in porous dielectric films.
  24. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  25. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  26. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  27. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  28. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  29. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  30. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  31. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  32. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  33. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  34. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  35. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  36. Huang,Tzu Fang; Lu,Yung Cheng; Xia,Li Qun; Yieh,Ellie; Yau,Wai Fan; Cheung,David W.; Willecke,Ralf B.; Liu,Kuowei; Lee,Ju Hyung; Moghadam,Farhad K.; Ma,Yeming Jim, Method of depositing low k films.
  37. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  38. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  39. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  40. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  41. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Method of modifying interlayer adhesion.
  42. Schmitt,Francimar Campana; Xia,Li Qun; Nguyen,Son Van; Venkataraman,Shankar, Method of modifying interlayer adhesion.
  43. Tipton,Adrianne K.; Lu,Brian G.; Van Cleemput,Patrick A.; Schulberg,Michelle T.; Wu,Qingguo; Fu,Haiying; Wang,Feng, Method of porogen removal from porous low-k films using UV radiation.
  44. Bandyopadhyay, Ananda K.; Cho, Seon Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  45. Bandyopadhyay, Ananda K.; Cho, Seon-Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  46. Bandyopadhyay, Ananda K.; Cho, Seon-Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  47. Bandyopadhyay,Ananda K.; Cho,Seon Mee; Fu,Haiying; Srinivasan,Easwar; Mordo,David, Method to improve mechanical strength of low-k dielectric film using modulated UV exposure.
  48. Yim,Kang Sub; Chan,Kelvin; Rajagopalan,Nagarajan; Liu,Josephine Ju Hwei Chang; Ahn,Sang H.; Zheng,Yi; Yi,Sang In; Nguyen,Vu Ngoc Tran; Demos,Alexandros T., Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers.
  49. Moghadam, Farhad; Zhao, Jun; Weidman, Timothy; Roberts, Rick J.; Xia, Li-Qun; Demos, Alexandros T., Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices.
  50. Moghadam,Farhad; Zhao,Jun; Weidman,Timothy; Roberts,Rick J.; Xia,Li Quan; Demos,Alexandros T., Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices.
  51. Wu,Qingguo; Niu,Dong; Wang,Honghong; Fu,Haiying, Methods for improving integration performance of low stress CDO films.
  52. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  53. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  54. Wu, Qingguo; Fu, Haiying; Smith, David C.; Mordo, David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  55. Wu,Qingguo; Fu,Haiying; Smith,David C.; Mordo,David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  56. Wu,Qingguo; Fu,Haiying; Smith,David C.; Mordo,David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  57. Wu,Qingguo; Fu,Haiying; Tang,Xingyuan, Methods for producing low-k CDO films.
  58. Wu,Qingguo; Niu,Dong; Fu,Haiying, Methods for producing low-k CDO films with low residual stress.
  59. Wu, Qingguo; Fu, Haiying; Niu, Dong; Bandyopadhyay, Ananda K.; Mordo, David, Methods for producing low-k carbon doped oxide films with low residual stress.
  60. Fox, Keith; Mars, Carole; Kirkpatrick, Willis; Srinivasan, Easwar, Methods for producing low-stress carbon-doped oxide films with improved integration properties.
  61. Li, Ming; Van Schravendijk, Bart; Mountsier, Tom; Chi, Chiu; Ilcisin, Kevin; Hsieh, Julian, Methods of forming moisture barrier for low k film integration with anti-reflective layers.
  62. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  63. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  64. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  65. Haverkamp, Jason Dirk; Hausmann, Dennis M.; McLaughlin, Kevin M.; Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  66. Haverkamp, Jason; Hausmann, Dennis; McLaughlin, Kevin; Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  67. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  68. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  69. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  70. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  71. Wang,Feng; Schulberg,Michelle T.; Sun,Jianing; Humayun,Raashina; Van Cleemput,Patrick A., Plasma detemplating and silanol capping of porous dielectric films.
  72. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Yu, Ying; Venkataraman, Shankar; Nemani, Srinivas D.; Xia, Li-Qun, Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power.
  73. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  74. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  75. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  76. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  77. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  78. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  79. Todd, Michael A., Process for depositing low dielectric constant materials.
  80. Todd,Michael A., Process for depositing low dielectric constant materials.
  81. Todd, Michael A., Processes for depositing low dielectric constant materials.
  82. Varadarajan, Bhadri N., Progressive UV cure.
  83. Subramonium, Pramod; Fang, Zhiyuan; Henri, Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  84. Subramonium,Pramod; Fang,Zhiyuan; Henri,Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  85. Smargiassi, Eugene; Lau, Stephen Yu-Hong; Kamian, George D.; Xi, Ming, Purging of porogen from UV cure chamber.
  86. Smargiassi, Eugene; Lau, Stephen Yu-Hong; Kamian, George D.; Xi, Ming, Purging of porogen from UV cure chamber.
  87. Smargiassi, Eugene; Lau, Stephen Yu-Hong; Kamian, George D.; Xi, Ming, Purging of porogen from UV cure chamber.
  88. Smargiassi, Eugene; Lau, Stephen Yu-Hong; Kamian, George D.; Xi, Ming, Purging of porogen from UV cure chamber.
  89. Smargiassi, Eugene; Lau, Stephen Yu-Hong; Kamian, George D.; Xi, Ming, Purging of porogen from UV cure chamber.
  90. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP, Semiconductor device and method of manufacturing the same.
  91. Tarafdar, Raihan M.; Papasouliotis, George D.; Rulkens, Ron; Hausmann, Dennis M.; Tobin, Jeff; Tipton, Adrianne K.; Nie, Bunsen, Sequential deposition/anneal film densification method.
  92. Shrinivasan, Krishna; Wang, Feng; Kamian, George; Gentile, Steve; Yam, Mark, Single-chamber sequential curing of semiconductor wafers.
  93. Varadarajan, Bhadri; Chang, Sean; Sims, James S.; Lu, Guangquan; Mordo, David; Ilcisin, Kevin; Pandit, Mandar; Carris, Michael, Tensile dielectric films using UV curing.
  94. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  95. Varadarajan, Bhadri; Antonelli, George A.; van Schravendijk, Bart, UV and reducing treatment for K recovery and surface clean in semiconductor processing.
  96. van Schravendijk, Bart; Crew, William, UV treatment for carbon-containing low-k dielectric repair in semiconductor processing.
  97. van Schravendijk, Bart; Cho, Seon Mee, UV treatment of STI films for increasing tensile stress.
  98. van Schravendijk, Bart; Denisse, Christian, UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement.
  99. Yim,Kang Sub; Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric P., Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD).
  100. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary, VLSI fabrication processes for introducing pores into dielectric materials.
  101. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  102. van den Hoek,Willibrordus Gerardus Maria; Draeger,Nerissa S.; Humayun,Raashina; Hill,Richard S.; Sun,Jianing; Ray,Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로