$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Reduced electromigration and stressed induced migration of Cu wires by surface coating 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/522
  • H01L-023/532
출원번호 US-0361573 (1999-07-27)
발명자 / 주소
  • Chao-Kun Hu
  • Robert Rosenberg
  • Judith Marie Rubino
  • Carlos Juan Sambucetti
  • Anthony Kendall Stamper
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Robert M. Trepp
인용정보 피인용 횟수 : 274  인용 특허 : 9

초록

The idea of the invention is to coat the free surface of patterned Cu conducting lines in on-chip interconnections (BEOL) wiring by a 1-20 nm thick metal layer prior to deposition of the interlevel dielectric. This coating is sufficiently thin so as to obviate the need for additional planarization b

대표청구항

1. A structure comprising:a layer of dielectric on a substrate, at least one trench formed in said dielectric on said substrate, a metal liner formed in said trench, a conductor selected from the group consisting of copper and copper alloys on said liner filling said trench, a planarized upper surfa

이 특허에 인용된 특허 (9)

  1. Hsiung Chiung-Sheng,TWX ; Hsieh Wen-Yi,TWX ; Lur Water,TWX, Copper damascene technology for ultra large scale integration circuits.
  2. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  3. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  4. Pasch Nicholas F. (Pacifica CA) Choudhury Ratan (Milpitas CA), Method of doping metal layers for electromigration resistance.
  5. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  6. Murakami Tomoyasu,JPX ; Yano Kousaku,JPX, Method of preventing diffusion between interconnect and plug.
  7. Yamamoto Hiroshi (Chiba JPX) Ohta Tomohiro (Chiba JPX) Takeyasu Nobuyuki (Chiba JPX), Multilevel interconnect structure.
  8. Cohen Uri, Seed layers for interconnects and methods for fabricating such seed layers.
  9. Toyoda Yoshihiko,JPX ; Mori Takeshi,JPX ; Fukada Tetsuo,JPX ; Hasegawa Makiko,JPX, Semiconductor device and manufacturing method thereof.

이 특허를 인용한 특허 (274)

  1. Vrtis, Raymond Nicholas; Matz, Laura M.; O'Neill, Mark Leonard, Adhesion to copper and copper electromigration resistance.
  2. Weiner, Kurt H.; Chiang, Tony P.; Francis, Aaron; Schmidt, John, Advanced mixing system for integrated tool having site-isolated reactors.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  11. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  12. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  13. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  14. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  15. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. He, Hong; Li, Juntao; Wang, Junli; Yang, Chih-Chao, Bottom-up metal gate formation on replacement metal gate finFET devices.
  18. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  19. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  20. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  21. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  22. Lubomirsky, Dmitry, Chamber with flow-through source.
  23. Lubomirsky, Dmitry, Chamber with flow-through source.
  24. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  25. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  26. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  27. Nogami,Takeshi, Cobalt tungsten phosphate used to fill voids arising in a copper metallization process.
  28. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  29. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  30. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  31. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  32. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  33. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  34. Chen, Hsueh-Chung H.; He, Hong; Li, Juntao; Yang, Chih-Chao; Yin, Yunpeng, Critical dimension shrink through selective metal growth on metal hardmask sidewalls.
  35. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  36. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  37. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  38. Yang, Chih-Chao; Gignac, Lynne M.; Hu, Chao-Kun; Mittal, Surbhi, Discontinuous/non-uniform metal cap structure and process for interconnect integration.
  39. Yang, Chih-Chao; Gignac, Lynne M.; Hu, Chao-Kun; Mittal, Surbhi, Discontinuous/non-uniform metal cap structure and process for interconnect integration.
  40. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  41. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  42. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  43. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  44. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  45. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  46. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  47. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  48. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  49. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  50. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  51. Yang, Chih-Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure.
  52. Yang, Chih-Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure.
  53. Yang, Chih Chao; Yang, Haining; Wong, Keith Kwong Hon, Dual liner capping layer interconnect structure and method.
  54. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  55. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  56. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  57. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  58. Chen,Sinn Wen, Electromigration effect-insignificant alloys and the alloys' designing method.
  59. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel I.; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  60. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  61. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  62. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  63. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  64. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  65. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  66. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  67. Grill, Alfred; Nguyen, Son; Saenger, Katherine L., Field effect transistor using carbon based stress liner.
  68. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  69. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  70. Lazovsky, David E.; Malhotra, Sandra G.; Boussie, Thomas R., Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region.
  71. Lazovsky, David E.; Malhotra, Sandra G.; Boussie, Thomas R., Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region.
  72. Lazovsky,David E.; Malhotra,Sandra G.; Boussie,Thomas R., Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region.
  73. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  74. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  75. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  76. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Graphene cap for copper interconnect structures.
  77. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  78. Tsau, Liming, High density metal capacitor using dual-damascene copper interconnect.
  79. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  80. Tsau, Liming, High-density metal capacitor using dual-damascene copper interconnect.
  81. Tsau,Liming, High-density metal capacitor using dual-damascene copper interconnect.
  82. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  83. Greco,Stephen E.; Hu,Chao Kun; McLaughlin,Paul S., Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via.
  84. Greco,Stephen E.; Hu,Chao Kun; McLaughlin,Paul S., Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via.
  85. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  86. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  87. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  88. Yang, Chih-Chao; Horak, David V.; Koburger, Charles W.; Ponoth, Shom, Integrated circuit structure having selectively formed metal cap.
  89. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Integrated circuit structure having selectively formed metal cap.
  90. Steven C. Avanzino ; Pin-Chin Connie Wang ; Minh Van Ngo, Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers.
  91. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  92. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  93. Stamper, Anthony Kendall; Sullivan, Timothy Dooling; Wang, Ping-Chuan, Integration circuits for reducing electromigration effect.
  94. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Interconnect structure.
  95. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Interconnect structure and method of fabrication of same.
  96. Yang,Chih Chao; Clevenger,Lawrence A.; Cowley,Andrew P.; Dalton,Timothy J.; Yoon,Meeyoung H., Interconnect structure and method of fabrication of same.
  97. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Interconnect structure containing various capping materials for electrical fuse and other related applications.
  98. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Interconnect structure containing various capping materials for programmable electrical fuses.
  99. Horak, David V.; Ponoth, Shom; Yang, Chih-Chao, Interconnect structure for electromigration enhancement.
  100. Yang, Chih Chao; Wang, Ping Chuan; Wang, Yun Yu, Interconnect structure having enhanced electromigration reliability and a method of fabricating same.
  101. Yang, Chih-Chao; Wang, Ping-Chuan; Wang, Yun-Yu, Interconnect structure having enhanced electromigration reliability and a method of fabricating same.
  102. Wang, Chien-Jung, Interconnect structure to reduce stress induced voiding effect.
  103. Wang, Chien-Jung, Interconnect structure to reduce stress induced voiding effect.
  104. Wang,Chien Jung, Interconnect structure to reduce stress induced voiding effect.
  105. Wang,Chien Jung, Interconnect structure to reduce stress induced voiding effect.
  106. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  107. Yang, Chih-Chao; Cohen, Stephan A., Interconnect structures containing nitrided metallic residues.
  108. Yang, Chih-Chao; Cohen, Stephan A., Interconnect structures containing nitrided metallic residues.
  109. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Interconnect structures, design structure and method of manufacture.
  110. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  111. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  112. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  113. Wu, Hui-Jung; Knisley, Thomas Joseph; Shankar, Nagraj; Shen, Meihua; Hoang, John; Sharma, Prithu, Liner and barrier applications for subtractive metal integration.
  114. Wu, Hui-Jung; Knisley, Thomas Joseph; Shankar, Nagraj; Shen, Meihua; Hoang, John; Sharma, Prithu, Liner and barrier applications for subtractive metal integration.
  115. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  116. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  117. Yang, Chih-Chao; Bergendahl, Marc A.; Holmes, Steven J.; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Metal alloy cap integration.
  118. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Metal cap for back end of line (BEOL) interconnects, design structure and method of manufacture.
  119. Yang, Chih-Chao; Wang, Ping-Chuan; Wang, Yun-Yu, Metal cap for interconnect structures.
  120. Yang, Chih-Chao; Edelstein, Daniel C., Metal cap with ultra-low k dielectric material for circuit interconnect applications.
  121. Yang, Chih-Chao; Edelstein, Daniel C., Metal cap with ultra-low κ dielectric material for circuit interconnect applications.
  122. Cohen, Uri, Metallic interconnects products.
  123. Hung, Ching-Wen; Wu, Jia-Rong; Huang, Chih-Sen, Method for fabricating fin-shaped field-effect transistor.
  124. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  125. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  126. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  127. McFeely, Fenton R.; Yang, Chih-Chao, Method for improving the selectivity of a CVD process.
  128. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  129. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  130. Yang, Chih Chao; Clevenger, Lawrence A.; Cowley, Andrew P.; Dalton, Timothy J.; Yoon, Meeyoung H., Method of fabrication of interconnect structures.
  131. Ko, Jungmin, Method of fin patterning.
  132. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Method of forming a graphene cap for copper interconnect structures.
  133. Bonilla, Griselda; Dimitrakopoulos, Christos D.; Grill, Alfred; Hannon, James B.; Lin, Qinghuang; Neumayer, Deborah A.; Oida, Satoshi; Ott, John A.; Pfeiffer, Dirk, Method of forming a graphene cap for copper interconnect structures.
  134. Michaelson,Lynne M.; Acosta,Edward; Chatterjee,Ritwik; Filipiak,Stanley M.; Garcia,Sam S.; Mathew,Varughese, Method of forming a semiconductor device having a diffusion barrier stack and structure thereof.
  135. Yang, Chih Chao; Chanda, Kaushik; Clevenger, Lawrence A.; Wang, Yun Yu; Yang, Daewon, Method of forming an interconnect including a dielectric cap having a tensile stress.
  136. Wang, Chien-Jung, Method of forming an interconnect structure having an enlarged region.
  137. Wang, Chien-Jung, Method of forming an interconnect structure having an enlarged region.
  138. Yang, Chih-Chao; Chanda, Kaushik; Edelstein, Daniel C., Method of manufacturing an interconnect structure and design structure thereof.
  139. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  140. Chiang, Tony P.; Lazovsky, David E.; Boussie, Thomas R.; Gorer, Alexander, Methods for discretized processing of regions of a substrate.
  141. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  142. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  143. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  144. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  145. Witt, Christian A., Methods for forming copper interconnects for semiconductor devices.
  146. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications.
  147. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  148. LaRoche, Jeffrey R.; Bettencourt, John P.; Kazior, Thomas E.; Ip, Kelly P., Microwave integrated circuit (MMIC) damascene electrical interconnect for microwave energy transmission.
  149. Niu, Chengyu C.; Kamineni, Vimal K.; Raymond, Mark V.; Zhang, Xunyuan, Middle of the line (MOL) metal contacts.
  150. Niu, Chengyu C.; Kamineni, Vimal K.; Raymond, Mark V.; Zhang, Xunyuan, Middle of the line (MOL) metal contacts.
  151. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  152. Lazovsky,David E.; Chiang,Tony P.; Keshavarz,Majid, Molecular self-assembly in substrate processing.
  153. Alers, Glenn; Havemann, Robert H., Nanoparticle cap layer.
  154. Alers, Glenn; Havemann, Robert H., Nanoparticle cap layer.
  155. Yang, Chih-Chao; Cohen, Stephan A.; Liniger, Eric G., Nanoscale interconnect structure.
  156. Yang, Chih-Chao; Cohen, Stephan A.; Liniger, Eric G., Nanoscale interconnect structure.
  157. Yang, Chih-Chao; Hu, Chao-Kun, Nitrogen-containing metal cap for interconnect structures.
  158. Yang, Chih-Chao; Edelstein, Daniel C.; McFeely, Fenton R., Noble metal cap for interconnect structures.
  159. Yang, Chih-Chao; Edelstein, Daniel C.; McFeely, Fenton R., Noble metal cap for interconnect structures.
  160. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  161. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  162. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  163. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  164. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  165. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  166. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  167. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  168. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  169. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  170. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  171. Hydrick, Jennifer M.; Fiorenza, James, Polishing of small composite semiconductor materials.
  172. Hydrick, Jennifer M.; Fiorenza, James, Polishing of small composite semiconductor materials.
  173. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  174. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  175. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  176. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  177. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  178. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  179. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  180. Ponoth, Shom; Yang, Chih-Chao, Process methods for advanced interconnect patterning.
  181. Turner,Michael D.; Jahanbani,Mohamad M.; Van Gompel,Toni D.; Hall,Mark D., Process of forming an electronic device including a layer formed using an inductively coupled plasma.
  182. Van Gompel, Toni D.; Beckage, Peter J.; Jahanbani, Mohamad M.; Turner, Michael D., Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer.
  183. Van Gompel, Toni D.; Chen, Kuang Hsin; Kang, Laegu; Mora, Rode R.; Turner, Michael D., Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer.
  184. Chiang, Tony P.; Lazovsky, David E.; Malhotra, Sandra G., Processing substrates using site-isolated processing.
  185. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  186. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  187. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  188. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  189. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  190. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  191. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  192. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  193. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  194. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  195. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  196. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  197. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  198. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  199. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  200. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  201. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  202. DellaGuardia,Ronald A.; Edelstein,Daniel C.; Hichri,Habib; McGahay,Vincent J., Reducing damage to ulk dielectric during cross-linked polymer removal.
  203. Cowley,Andy; Kaltalioglu,Erdem; Hoinkis,Mark; Stetter,Michael, Reduction of the shear stress in copper via's in organic interlayer dielectric material.
  204. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  205. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  206. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  207. Weidman,Timothy W., Ruthenium containing layer deposition method.
  208. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  209. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  210. Alers, Glenn; Draeger, Nerissa; Carolus, Michael; Carolus, legal representative, Julie, Selective capping of copper.
  211. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  212. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  213. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  214. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  215. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  216. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  217. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  218. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  219. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  220. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  221. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  222. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  223. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  224. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  225. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  226. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  227. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  228. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  229. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  230. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  231. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  232. Nakano, Hiroshi; Itabashi, Takeyuki; Akahoshi, Haruo, Semiconductor device having cobalt alloy film with boron.
  233. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  234. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  235. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  236. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  237. Chanda, Kaushik; Filippi, Ronald G.; Wang, Ping-Chuan; Yang, Chih-Chao, Semiconductor wiring structures including dielectric cap within metal cap layer.
  238. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  239. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  240. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  241. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  242. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  243. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  244. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  245. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  246. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  247. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  248. Kane, Terence; Fischer, Lawrence S.; Herschbein, Steven B.; Hong, Ying; Tenney, Michael P., Structure and method for charge sensitive electrical devices.
  249. Hsu, Louis L.; Tonti, William R.; Yang, Chih-Chao, Structure for interconnect structure containing various capping materials for electrical fuse and other related applications.
  250. Edelstein, Daniel C.; Nogami, Takeshi; Wang, Ping-Chuan; Wang, Yun-Yu; Yang, Chih-Chao, Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method.
  251. Stamper, Anthony Kendall; Sullivan, Timothy Dooling; Wang, Ping-Chuan, Structures including integrated circuits for reducing electromigration effect.
  252. Yang, Chih-Chao; Hu, Chao-Kun, Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects.
  253. Fresco, Zachary; Lang, Chi-I; Malhotra, Sandra G.; Chiang, Tony P.; Boussie, Thomas R.; Kumar, Nitin; Tong, Jinhong; Duong, Anh, Substrate processing including a masking layer.
  254. Kon, Junichi, Surface coating method, semiconductor device, and circuit board package.
  255. Yang, Chih-Chao; Nitta, Satya V.; Purushothaman, Sampath; Sankarapandian, Muthumanickam, Surface treatment for selective metal cap applications.
  256. Wan, Wen-Kai; Lin, Yih-Hsiung; Lei, Ming-Dai; Perng, Baw-Ching; Lin, Cheng-Chung; Lin, Chia-Hui; Liu, Ai-Sen, Surface treatment of metal interconnect lines.
  257. Wan, Wen-Kai; Lin, Yih-Hsiung; Lei, Ming-Ta; Perng, Baw-Ching; Lin, Cheng-Chung; Lin, Chia-Hui; Liu, Ai-Sen, Surface treatment of metal interconnect lines.
  258. Weiner, Kurt H.; Chiang, Tony P.; Pinto, Gustavo A., System and method for increasing productivity of combinatorial screening.
  259. Chiang, Tony P.; Lazovsky, David E.; Boussie, Thomas R.; McWaid, Thomas H.; Gorer, Alexander, Systems for discretized processing of regions of a substrate.
  260. Lin, Li-Te S.; Hsia, Chin-Chiu, Test structure for differentiating the line and via contribution in stress migration.
  261. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  262. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  263. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  264. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  265. Murray, Conal E.; Yang, Chih-Chao, Treating copper interconnects.
  266. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  267. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  268. Li, Juntao; Yang, Chih-Chao; Yin, Yunpeng, Ultra-thin metal wires formed through selective deposition.
  269. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  270. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  271. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  272. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  273. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  274. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로