$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Barrier layer deposition using HDP-CVD 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • K01L-021/44
출원번호 US-0431411 (1999-11-01)
발명자 / 주소
  • Hichem M'Saad
  • Seon Mee Cho
  • Dana Tribula
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Townsend and Townsend and Crew
인용정보 피인용 횟수 : 57  인용 특허 : 56

초록

A method of depositing a film, such as a barrier layer, on a substrate using a gaseous mixture including a hydrocarbon-containing gas and a silicon-containing gas. Suitable hydrocarbon-containing gases include alkanes such as methane (CH4), ethane (C2H6), butane (C3H8), propane (C4H10), etc. Suitabl

대표청구항

1. A method for depositing a barrier layer over a substrate disposed in a process chamber, the method comprising:(a) flowing a gaseous mixture comprising a silicon containing gas, and a hydrocarbon containing gas to the chamber, wherein the gaseous mixture has a carbon:silicon ratio less than 8:1 an

이 특허에 인용된 특허 (56)

  1. Kido, Keishiro; Sunagawa, Hiroshi; Kawajiri, Kazuhiro; Iijima, Toshio; Nozaki, Nobuharu, Amorphous silicon electrophotographic photosensitive materials.
  2. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  3. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  4. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  5. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  6. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  7. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  8. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  9. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  10. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow RUX), Diamond-like metallic nanocomposites.
  11. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  12. Uglow Jay E. ; Bright Nicolas J. ; Hemker Dave J. ; MacWilliams Kenneth P. ; Benzing Jeffrey C. ; Archer Timothy M., Dual-damascene dielectric structures and methods for making the same.
  13. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  14. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  15. Schmidt Paul Herman ; Angus John C., Hydrogenated carbon composition.
  16. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  17. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  18. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Low dielectric constant materials and method.
  19. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  20. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  21. Strumban Emil E. (Oak Park MI) Dobrinsky Edward K. (Saratoy RUX) Kuznetsov Anatoly A. (Moscow RUX) Harutyunyan Avetik H. (Moscow RUX), Method and apparatus for making carbon-encapsulated ultrafine metal particles.
  22. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  23. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  24. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  25. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow SUX), Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films.
  26. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  27. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  28. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  29. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  30. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  31. Ravi Kramadhati V. (Atherton CA) Orczyk Maciek (Cupertino CA), Method for the stabilization of halogen-doped films through the use of multiple sealing layers.
  32. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  33. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  34. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  35. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  36. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  37. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  38. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  39. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  40. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  41. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  42. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  43. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  44. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  45. Malba Vincent, Process for 3D chip stacking.
  46. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  47. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  48. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  49. Jain Ajay, Process for forming a semiconductor device.
  50. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  51. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  52. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  53. Yao Xiang Yu, Reducing hydrogen concentration in pecvd amorphous silicon carbide films.
  54. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  55. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  56. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.

이 특허를 인용한 특허 (57)

  1. Jenson, Mark L.; Klaassen, Jody J.; Sullivan, Jim, Active wireless tagging system on peel and stick substrate.
  2. Shakespeare,Stuart, Apparatus and method for depositing material onto a substrate using a roll-to-roll mask.
  3. M'Saad, Hichem; Cho, Seon Mee; Tribula, Dana, Barrier layer deposition using HDP-CVD.
  4. Jacobs, Harlan T.; Jenson, Mark L.; Klaassen, Jody J.; Yan, Jenn-Feng, Battery-operated wireless-communication apparatus and method.
  5. Jacobs, Harlan T.; Jenson, Mark L.; Klassen, Jody J.; Yan, Jenn-Feng, Battery-operated wireless-communication apparatus and method.
  6. Jacobs,Harlan Theodore; Jenson,Mark Lynn; Klaassen,Jody Jon; Yan,Jenn Feng, Battery-operated wireless-communication apparatus and method.
  7. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  8. Jenson,Mark Lynn, Continuous processing of thin-film batteries and like devices.
  9. Wurm, Stefan, Dense seed layer and method of formation.
  10. Wurm, Stefan, Dense seed layer and method of formation.
  11. Wurm, Stefan, Dense seed layer and method of formation.
  12. Wang, Yaxin; Barnes, Michael; Pham, Thanh N.; Moghadam, Farhad, Deposition of stable dielectric films.
  13. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  14. Jacobs, Harlan T.; Jenson, Mark L.; Klaassen, Jody J.; Yan, Jenn-Feng, Encapsulated integrated-circuit device with thin-film battery.
  15. Chaney, Craig R.; Dori, Adolph R.; Hatem, Christopher R.; Perel, Alexander S., Ethane implantation with a dilution gas.
  16. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  17. Farrar,Paul A., Hplasma treatment.
  18. Farrar, Paul A., Integrated circuit and seed layers.
  19. Farrar,Paul A., Integrated circuit and seed layers.
  20. Farrar,Paul A., Integrated circuit and seed layers.
  21. Tarnowski,Dave J.; Jenson,Mark L., Layered barrier structure having one or more definable layers and method.
  22. Klaassen,Jody J., Lithium/air batteries with LiPON as separator and protective barrier and method.
  23. Klaassen,Jody J., Lithium/air batteries with LiPON as separator and protective barrier and method.
  24. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  25. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  26. Jenson, Mark L.; Klaassen, Jody J., Method and apparatus for integrated-circuit battery devices.
  27. Jenson, Mark L.; Klaassen, Jody J., Method and apparatus for integrated-circuit battery devices.
  28. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  29. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  30. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  31. Wong,Lawrence D.; Leu,Jihperng; Kloster,Grant; Ott,Andrew; Morrow,Patrick, Method of making semiconductor device using a novel interconnect cladding layer.
  32. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  33. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  34. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  35. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  36. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  37. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  38. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  39. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  40. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  41. Alfred Grill ; Vishnubhai Vitthalbhai Patel ; Stephen McConnell Gates, Multiphase low dielectric constant material and method of deposition.
  42. Rajagopalan, Nagarajan; Shek, Meiyee; Huang, Kegang; Kim, Bok Hoen; M'saad, Hichem; Nowak, Thomas, Reduction of hillocks prior to dielectric barrier deposition in Cu damascene.
  43. Read, John B.; Sweeney, Daniel C., Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices.
  44. Sweeney, Daniel C.; Read, John B., Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices.
  45. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  46. Nakatani, Goro; Sakamoto, Tatsuya, Semiconductor device and method for manufacturing the same.
  47. Jenson,Mark L.; Klaassen,Jody J.; Sullivan,Jim; Lemaire,Charles A.; Billion,Richard E., Solid state MEMS activity-activated battery device and method.
  48. Farrar, Paul A., Structures and methods to enhance copper metallization.
  49. Farrar, Paul A., Structures and methods to enhance copper metallization.
  50. Farrar, Paul A., Structures and methods to enhance copper metallization.
  51. Farrar,Paul A., Structures and methods to enhance copper metallization.
  52. Farrar,Paul A., Structures and methods to enhance copper metallization.
  53. Edelstein, Daniel C.; Grill, Alfred; Patel, Vishnubhai V.; Restaino, Darryl D., Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same.
  54. Edelstein,Daniel C.; Grill,Alfred; Patel,Vishnubhai V.; Restaino,Darryl D., Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same.
  55. Klaassen, Jody J., Thin-film batteries with polymer and LiPON electrolyte layers and method.
  56. Jenson,Mark L., Thin-film battery devices and apparatus for making the same.
  57. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.

관련 콘텐츠

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로