$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Memory array organization and related test method particularly well suited for integrated circuits having write-once memory arrays 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G11C-007/00
출원번호 US-0775956 (2001-02-02)
발명자 / 주소
  • James M. Cleeves
출원인 / 주소
  • Matrix Semiconductor, Inc.
대리인 / 주소
    Zagorin, O' Brien & Graham, LLP
인용정보 피인용 횟수 : 173  인용 특허 : 42

초록

In a preferred integrated circuit embodiment, a write-once memory array includes at least one test bit line which provides a respective test memory cell at the far end of each respective word line relative to its word line driver, and further includes at least one test word line which provides a res

대표청구항

1. In an integrated circuit including a write-once memory array of memory cells, each respectively coupled between a respective one of a plurality of word lines and a respective one of a plurality of bit lines, a test method comprising the steps of:providing at least one test word line and at least

이 특허에 인용된 특허 (42)

  1. Eltoukhy Abdelshafy A. (San Jose CA), Apparatus and method determining the resistance of antifuses in an array.
  2. Eltoukhy Abdelshafy A. (San Jose CA), Apparatus and method for determining the resistance of antifuses in an array.
  3. Eltoukhy Abdelshafy A. (San Jose CA), Apparatus and method for determining the resistance of antifuses in an array.
  4. Beffa Ray (Boise ID), Apparatus and method for testing for defects between memory cells in packaged semiconductor memory devices.
  5. Sher Joseph C., Circuit and method for antifuse stress test.
  6. McGibney Phillip H. ; Ahrens Michael G., Circuit and method for stress testing EEPROMS.
  7. Kaszubinski Jeffrey K. (Houston TX) Wilmoth David D. (Sugarland TX) Coffman Timmie M. (Sugarland TX) Schreck John F. (Houston TX), Extra row for testing programmability and speed of ROMS.
  8. Alapat Varkey P. (Sunnyvale CA), Fault locator architecture and method for memories.
  9. Fukushima Toshitaka (Yokohama JPX) Koyama Kazumi (Kanagawa JPX) Ueno Kouji (Yokohama JPX), Field programmable device having test provisions for fault detection.
  10. Fukushima Toshitaka (Yokohama JPX) Koyama Kazumi (Tsukui JPX) Ueno Kouji (Kawasaki JPX), Field programmable device with internal dynamic test circuit.
  11. Akaogi Takao (Kawasaki JPX) Kawashima Hiromi (Kawasaki JPX) Takeguchi Tetsuji (Kawasaki JPX) Hagiwara Ryoji (Kawasaki JPX) Kasa Yasushi (Kawasaki JPX) Itano Kiyoshi (Kawasaki JPX) Ogawa Yasushige (Ka, Flash memory with improved erasability and its circuitry.
  12. Hag Ejaz U. (Sunnyvale CA), Integrated circuit memory with non-binary array configuration.
  13. Kirihata Toshiaki (Wappingers Falls NY) Wong Hing (Norwalk CT), Latched row decoder for a random access memory.
  14. Wendell Dennis Lee ; Holst John Christian, Memory block select using multiple word lines to address a single memory cell row.
  15. Ingalls Charles L., Method and apparatus for global testing the impedance of a programmable element.
  16. Shannon Paul D. (Austin TX) Oka Hiroyuki (Tokyo TX JPX) Grimme Paul E. (Dripping Springs TX) Sparks Robert W. (Austin TX), Method and apparatus for post-packaging testing of one-time programmable memories.
  17. Shannon Paul D. (Austin TX) Oka Hiroyuki (Tokyo TX JPX) Grimme Paul E. (Dripping Springs TX) Sparks Robert W. (Austin TX), Method and apparatus for post-packaging testing of one-time programmable memories.
  18. Barth ; Jr. John E. (Williston VT) Kalter Howard L. (Colchester VT), Method for setting test voltages in a flash write mode.
  19. Gaultier Jean-Marie (Rousset sur Arc FRX) Farrugia Augustin (La Ciotat FRX) Conan Bertrand (Aix-en-Provence FRX), Method for the testing of one time programmable memories and corresponding memory.
  20. Cappelletti Paolo Giuseppe,ITX ; Ravazzi Leonardo,ITX, Method of evaluating the gate oxide of non-volatile EPROM, EEPROM and flash-EEPROM memories.
  21. Kirihata Toshiaki (Wappingers Falls NY) Wong Hing (Norwalk CT), Method of testing a random access memory.
  22. Artieri Alain, Multiple transistor dynamic random access memory array architecture with simultaneous refresh of multiple memory cells d.
  23. Cuppens Roger (Eindhoven NLX) Koomen Joannes J. M. (Eindhoven NLX), Non-volatile, programmable semiconductor memory having reduced testing time.
  24. Sluss Gene T. (Chippewa Falls WI), On-chip programmability verification circuit for programmable read only memory having lateral fuses.
  25. Ueno Kouji (Inagi JPX), Programmable device and method of testing programmable device.
  26. Apland James M., Programmable integrated circuit having test antifuse circuitry for testing programming conductors.
  27. Kolze Paige A., Programming architecture for a programmable integrated circuit employing antifuses.
  28. Apland James M., Programming architecture for a programmable integrated circuit employing test antifuses and test transistors.
  29. Shore Michael, Sacrifice read test mode.
  30. Kesel Frank (Pfullingen DEX) Boehl Eberhard (Reutlingen DEX), Self-test device for memories, decoders, etc..
  31. Mori Seiichi (Tokyo JPX), Semiconductor device with function of testing insulation defect between bit lines and testing method therefor.
  32. Inui Takashi (Tsuchiura JPX) Okuzawa Kiyotaka (Tsukuba JPX) Ogata Yoshihiro (Tsuchiura JPX), Semiconductor memory device.
  33. Hidaka Hideto,JPX ; Asakura Mikio,JPX ; Furutani Kiyohiro,JPX ; Kato Tetsuo,JPX, Semiconductor memory device and method of checking same for defect.
  34. Kojima Kazumi,JPX ; Uchida Toshiya,JPX ; Yanagisawa Makoto,JPX, Semiconductor memory device capable of multiple word-line selection and method of testing same.
  35. Hayano Kiminori,JPX, Semiconductor memory device having a monitoring pattern.
  36. Hotta Yasuhiro (Nara JPX), Semiconductor memory device having word line and bit line test circuits.
  37. Kohno Fumihiro,JPX ; Toda Haruki,JPX, Semiconductor memory device with high data read rate.
  38. Urai Takahiko (Tokyo JPX), Semiconductor memory device with improved cell arrangement.
  39. Hashimoto Hiroaki,JPX, Semiconductor storage device.
  40. Goetting, F. Erich; Parlour, David B.; Mahoney, John E., Structure and method for programming antifuses in an integrated circuit array.
  41. Brady James, Technique for testing wordline and related circuitry of a memory array.
  42. Johnson Mark G. ; Lee Thomas H. ; Subramanian Vivek ; Farmwald Paul Michael ; Cleeves James M., Vertically stacked field programmable nonvolatile memory and method of fabrication.

이 특허를 인용한 특허 (173)

  1. Bulovic, Vladimir; Mandell, Aaron; Perlman, Andrew, Addressable and electrically reversible memory switch.
  2. Li, Yan, Alternate page by page programming scheme.
  3. Fasoli,Luca G.; So,Kenneth K., Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders.
  4. So,Kenneth K.; Fasoli,Luca G.; Scheuerlein,Roy E., Apparatus and method for memory operations using address-dependent conditions.
  5. Mar, Monte, Apparatus and method for programmable power management in a programmable analog circuit block.
  6. Siau, Chang Hua, Array voltage regulating technique to enable data operations on large cross-point memory arrays with resistive memory elements.
  7. Siau, Chang Hua, Array voltage regulating technique to enable data operations on large cross-point memory arrays with resistive memory elements.
  8. Siau, Chang Hua, Array voltage regulating technique to enable data operations on large memory arrays with resistive memory elements.
  9. Siau, Chang Hua, Array voltage regulating technique to enable data operations on large memory arrays with resistive memory elements.
  10. Siau, Chang Hua, Array voltage regulating technique to enable data operations on large memory arrays with resistive memory elements.
  11. Sullam, Bert; Kutz, Harold; Mar, Monte; Thiagaragen, Eashwar; Williams, Timothy; Wright, David G., Autonomous control in a programmable system.
  12. Alhussien, AbdelHakim S.; Haratsch, Erich F.; Cohen, Earl T., Bit-line defect detection using unsatisfied parity code checks.
  13. Roe, Steve; Nemecek, Craig, Breakpoint control in an in-circuit emulation system.
  14. Wright, David G.; Williams, Timothy J., Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes.
  15. Synder, Warren; Sullam, Bert, Clock driven dynamic datapath chaining.
  16. Nemecek, Craig, Conditional branching in an in-circuit emulation system.
  17. Best, Andrew; Ogami, Kenneth; Zhaksilikov, Marat, Configuration of programmable IC design elements.
  18. Scheuerlein, Roy E., Current sensing method and apparatus for a memory array.
  19. Scheuerlein, Roy E., Current sensing method and apparatus particularly useful for a memory array of cells having diode-like characteristics.
  20. Scheuerlein,Roy E., Current sensing method and apparatus particularly useful for a memory array of cells having diode-like characteristics.
  21. Scheuerlein,Roy E., Current sensing method and apparatus particularly useful for a memory array of cells having diode-like characteristics.
  22. Hubris, Alexander; Chen, Zhengang; Alhussien, AbdelHakim S.; Wu, YingQuan, Data decoder with trapping set flip bit mapper.
  23. Li,Yan, Data recovery methods in multi-state memory after program fail.
  24. Davis, James A; Jedwab, Jonathan; Paterson, Kenneth Graham; Seroussi, Gadiel; Smith, Kenneth K, Data storage method for use in a magnetoresistive solid-state storage device.
  25. So,Kenneth K.; Fasoli,Luca G.; Scheuerlein,Roy E., Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation.
  26. Synder, Warren; Sullam, Bert, Dynamically configurable and re-configurable data path.
  27. Nemecek, Craig; Roe, Steve, External interface for event architecture.
  28. Lee,Chang Hyun; Han,Jung In; Park,Kwang Won, Flash memory devices including multiple dummy cell array regions.
  29. Mandell, Aaron; Perlman, Andrew, Floating gate memory device using composite molecular material.
  30. Siau, Chang Hua; Bateman, Bruce Lynn, Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations.
  31. Siau, Chang Hua; Bateman, Bruce Lynn, Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations.
  32. Siau, Chang Hua; Bateman, Bruce Lynn, Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations.
  33. Siau, Chang Hua; Bateman, Bruce Lynn, Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations.
  34. Siau, Chang Hua; Bateman, Bruce Lynn, Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations.
  35. Pleis, Matthew A.; Ogami, Kenneth Y.; Zhaksilikov, Marat, Graphical user interface for dynamically reconfiguring a programmable device.
  36. Anderson, Doug, Graphical user interface with user-selectable list-box.
  37. Fasoli, Luca G.; So, Kenneth K., Hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders.
  38. Seguine, Dennis R., Input/output multiplexer bus.
  39. Sequine, Dennis R., Input/output multiplexer bus.
  40. Knall, N. Johan; Scheuerlein, Roy E.; Cleeves, James M.; Kleveland, Bendik; Johnson, Mark G., Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions.
  41. Scheuerlein, Roy E., Integrated circuit memory array with fast test mode utilizing multiple word line selection and method therefor.
  42. Siau, Chang Hua; Chevallier, Christophe; Rinerson, Darrell; Lim, Seow Fong; Namala, Sri, Local bit lines and methods of selecting the same to access memory elements in cross-point arrays.
  43. Siau, Chang Hua; Chevallier, Christophe; Rinerson, Darrell; Lim, Seow Fong; Namala, Sri Rama, Local bit lines and methods of selecting the same to access memory elements in cross-point arrays.
  44. Siau, Chang Hua; Chevallier, Christophe; Rinerson, Darrell; Lim, Seow Fong; Namala, Sri Rama, Local bit lines and methods of selecting the same to access memory elements in cross-point arrays.
  45. Davis,James Andrew; Jedwab,Jonathan; Morley,Stephen; Paterson,Kenneth Graham, Magnetoresistive solid-state storage device and data storage methods for use therewith.
  46. Davis,James A.; Jedwab,Jonathan; Morley,Stephen; Paterson,Kenneth Graham; Perner,Frederick A.; Smith,Kenneth K.; Wyatt,Stewart R., Manufacturing test for a fault tolerant magnetoresistive solid-state storage device.
  47. Jedwab,Jonathan; Davis,James Andrew; Paterson,Kenneth Graham; Seroussi,Gadiel, Manufacturing test for a fault tolerant magnetoresistive solid-state storage device.
  48. Chevallier, Christophe; Namala, Sri Rama; Siau, Chang Hua; Eggleston, David, Memory architectures and techniques to enhance throughput for cross-point arrays.
  49. Scheuerlein, Roy E., Memory array incorporating noise detection line.
  50. Siau, Chang Hua; Bateman, Bruce, Memory array with local bitlines and local-to-global bitline pass gates and gain stages.
  51. Krieger, Juri H.; Yudanov, Nikolai, Memory device.
  52. Krieger, Juri H.; Yudanov, Nikolai, Memory device.
  53. Krieger, Juri H.; Yudanov, Nikolai, Memory device.
  54. Krieger, Juri H.; Yudanoy, Nikolai, Memory device.
  55. Krieger, Juri H.; Yudanov, Nikolai, Memory device with active and passive layers.
  56. Krieger, Juri H.; Yudanov, Nikolai, Memory device with active passive layers.
  57. So,Kenneth; Al Shamma,Ali, Memory device with improved temperature-sensor circuit.
  58. Kim,Tae H.; Ingalls,Charles L.; Kirsch,Howard C.; Gum,Jeremy J., Memory devices having reduced coupling noise between wordlines.
  59. Cernea,Raul Adrian, Memory sensing circuit and method for low voltage operation.
  60. Cernea,Raul Adrian, Memory sensing circuit and method for low voltage operation.
  61. Scheuerlein, Roy E., Method and apparatus for biasing selected and unselected array lines when writing a memory array.
  62. Ilkbahar, Alper; Bosch, Derek J., Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information.
  63. Ilkbahar,Alper; Bosch,Derek J., Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information.
  64. Kleveland,Bendik; Lee,Tae Hee; Yu,Seung Geon; Yang,Chia; Li,Feng; Yang,Xiaoyu, Method and apparatus for programming a memory array.
  65. Moyal, Nathan; Stiff, Jonathon, Method and circuit for rapid alignment of signals.
  66. So,Kenneth; Fasoli,Luca; Kleveland,Bendik, Method and system for temperature compensation for memory cells with temperature-dependent behavior.
  67. Chan, Siu Lung; Cernea, Raul Adrian, Method for compensated sensing in non-volatile memory.
  68. Chan,Siu Lung; Cernea,Raul Adrian, Method for compensated sensing in non-volatile memory.
  69. Tomlin, Andrew, Method for copying data in reprogrammable non-volatile memory.
  70. Jedwab,Jonathan; Davis,James Andrew; Seroussi,Gadiel, Method for error correction decoding in a magnetoresistive solid-state storage device.
  71. So,Kenneth; Al Shamma,Ali, Method for improving the precision of a temperature-sensor circuit.
  72. Yuan,Caiwen; Li,Susan Xia; Gray,Andy, Method for isolating a failure site in a wordline in a memory array.
  73. Li, Yan; Lin, Jason, Method for non-volatile memory with background data latch caching during erase operations.
  74. Li,Yan, Method for non-volatile memory with background data latch caching during program operations.
  75. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  76. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  77. Li, Yan, Method for non-volatile memory with background data latch caching during read operations.
  78. Li,Yan, Method for non-volatile memory with background data latch caching during read operations.
  79. Li,Yan, Method for non-volatile memory with managed execution of cached data.
  80. Perrin, Jon; Seguine, Dennis, Method for parameterizing a user module.
  81. Scheuerlein,Roy E., Method for using a mixed-use memory array.
  82. Scheuerlein,Roy E.; Petti,Christopher J., Method for using a mixed-use memory array with different data states.
  83. Scheuerlein,Roy E.; Kumar,Tanmay, Method for using a multi-use memory cell and memory array.
  84. Akaogi, Takao; Chan, Tony, Method of identifying damaged bitline address in non-volatile.
  85. Lee,Chang Hyun; Han,Jung In; Park,Kwang Won, Methods of fabricating flash memory devices including multiple dummy cell array regions.
  86. Snyder, Warren S.; Mar, Monte, Microcontroller programmable system on a chip.
  87. Snyder, Warren S.; Mar, Monte, Microcontroller programmable system on a chip.
  88. Snyder, Warren S.; Mar, Monte, Microcontroller programmable system on a chip.
  89. Snyder, Warren, Microcontroller programmable system on a chip with programmable interconnect.
  90. Snyder, Warren S, Microcontroller programmable system on a chip with programmable interconnect.
  91. Krieger, Juri H.; Yudanov, Nikolay F., Molecular memory cell.
  92. Krieger,Juri H; Yudanov,Nicolay F, Molecular memory cell.
  93. Bulovic, Vladimir; Mandell, Aaron; Perlman, Andrew, Molecular memory device.
  94. Wu, Jian; Meyer, Rene, Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells.
  95. Wu, Jian; Meyer, Rene, Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells.
  96. Wu, Jian; Meyer, Rene, Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells.
  97. Li,Yan, Multi-state memory having data recovery after program fail.
  98. Siau, Chang Hua, Multilayer cross-point memory array having reduced disturb susceptibility.
  99. Scheuerlein,Roy E.; Fasoli,Luca; Johnson,Mark G., Multiple twin cell non-volatile memory array and logic block structure and method therefor.
  100. Khalid,Shahzad; Li,Yan; Cernea,Raul Adrian; Mofidi,Mehrdad, Non-volatile memory and method with bit line compensation dependent on neighboring operating modes.
  101. Cernea,Raul Adrian; Li,Yan; Mofidi,Mehrdad; Khalid,Shahzad, Non-volatile memory and method with bit line coupled compensation.
  102. Cernea, Raul Adrian; Li, Yan; Mofidi, Mehrdad; Khalid, Shahzad, Non-volatile memory and method with bit line to bit line coupled compensation.
  103. Cernea,Raul Adrian; Li,Yan; Mofidi,Mehrdad; Khalid,Shahzad, Non-volatile memory and method with bit line to bit line coupled compensation.
  104. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with improved sensing.
  105. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with improved sensing.
  106. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced bit line crosstalk errors.
  107. Cernea, Raul-Adrain; Li, Yan, Non-volatile memory and method with reduced neighboring field errors.
  108. Cernea, Raul-Adrain; Li, Yan, Non-volatile memory and method with reduced neighboring field errors.
  109. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced neighboring field errors.
  110. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced neighboring field errors.
  111. Cernea, Raul Adrian; Li, Yan, Non-volatile memory and method with reduced source line bias errors.
  112. Cernea,Raul Adrian; Li,Yan, Non-volatile memory and method with reduced source line bias errors.
  113. Li, Yan; Lin, Jason, Non-volatile memory with background data latch caching during erase operations.
  114. Li,Yan, Non-volatile memory with background data latch caching during program operations.
  115. Li,Yan, Non-volatile memory with background data latch caching during read operations.
  116. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  117. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  118. Cernea, Raul-Adrian, Non-volatile memory with improved sensing by reducing source line current.
  119. Li,Yan, Non-volatile memory with managed execution of cached data.
  120. Chevallier, Christophe J., Non-volatile memory with test rows for disturb detection.
  121. Chevallier, Christophe J., Non-volatile memory with test rows for disturb detection.
  122. Chevallier,Christophe J., Non-volatile memory with test rows for disturb detection.
  123. Chevallier,Christophe J., Non-volatile memory with test rows for disturb detection.
  124. Kuroda, Yoshikazu, Nonvolatile semiconductor storage device including failure detection circuit and method of detecting failure on nonvolatile semiconductor storage device.
  125. Kutz, Harold, Numerical band gap.
  126. Lindhorst, Chad A.; Humes, Todd E.; Horch, Andrew E.; Allen, III, Ernest, One time programmable memory test structures and methods.
  127. Kingsborough,Richard P.; Sokolik,Igor, Organic thin film Zener diodes.
  128. Snyder, Warren S.; Mar, Monte, PSOC architecture.
  129. Snyder, Warren; Mar, Monte, PSOC architecture.
  130. Snyder, Warren S.; Mar, Monte, PSoC architecture.
  131. Snyder, Warren S.; Mar, Monte, PSoC architecture.
  132. Gorobets, Sergey Anatolievich; Conley, Kevin M., Pipelined data relocation and improved chip architectures.
  133. Gorobets, Sergey; Conley, Kevin, Pipelined data relocation and improved chip architectures.
  134. Ogami, Kenneth Y., Power management architecture, method and configuration system.
  135. Ogami, Kenneth Y., Power management architecture, method and configuration system.
  136. Snyder, Warren, Programmable microcontroller architecture.
  137. Snyder, Warren; Mar, Monte, Programmable microcontroller architecture(mixed analog/digital).
  138. Snyder, Warren; Mar, Monte, Programmable microcontroller architecture(mixed analog/digital).
  139. Thiagarajan, Eashwar; Sivadasan, Mohandas Palatholmana; Rohilla, Gajender; Kutz, Harold; Mar, Monte, Programmable sigma-delta analog-to-digital converter.
  140. Pleis, Matthew A.; Sullam, Bert; Lesher, Todd, Reconfigurable testing system and method.
  141. Chan,Siu Lung; Cernea,Raul Adrian, Reference sense amplifier for non-volatile memory.
  142. Bulovic, Vladimir; Mandell, Aaron; Perlman, Andrew, Reversible field-programmable electric interconnects.
  143. Bulovic,Vladimir; Mandell,Aaron; Perlman,Andrew, Reversible field-programmable electric interconnects.
  144. Luo, Ting; Yang, Nian Niles; Avila, Chris; Chandrasekhar, Uday; Huang, Jianmin, Sensing parameter management in non-volatile memory storage system to compensate for broken word lines.
  145. Nemecek, Craig, Sleep and stall in an in-circuit emulation system.
  146. Sheets, Michael; Williams, Timothy John, State-monitoring memory element.
  147. Chen, Shih-Hung; Lue, Hang-Ting; Huang, I-Jen, Structure of ECC spare bits in 3D memory.
  148. Ogami, Kenneth; Best, Andrew; Zhaksilikov, Marat, System and method for controlling a target device.
  149. Anderson, Douglas H.; Ogami, Kenneth Y., System and method for dynamically generating a configuration datasheet.
  150. Ogami, Kenneth Y.; Hood, Frederick R., System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit.
  151. Ogami, Kenneth Y.; Hood, III, Frederick R., System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit.
  152. Crowley,Matthew P.; Fasoli,Luca G.; Ilkbahar,Alper; Johnson,Mark G.; Kleveland,Bendik; Lee,Thomas H.; Scheuerlein,Roy E., System architecture and method for three-dimensional memory.
  153. Sullam, Bert; Snyder, Warren; Mohammed, Haneef, System level interconnect with programmable switching.
  154. Sullam, Bert; Snyder, Warren; Mohammed, Haneef, System level interconnect with programmable switching.
  155. Ogami, Kenneth Y.; Anderson, Doug; Pleis, Matthew; Hood, III, Frederick Redding, Techniques for generating microcontroller configuration information.
  156. Ogami, Kenneth Y.; Anderson, Doug; Pleis, Matthew; Hood, Rick, Techniques for generating microcontroller configuration information.
  157. Venkataraman, Garthik; Kutz, Harold; Mar, Monte, Temperature sensor with digital bandgap.
  158. Lin, Sung-Chieh; Hsu, Kuoyuan (Peter), Testing one time programming devices.
  159. Beard, Paul; Woodings, Ryan Winfield, Touch wake for electronic devices.
  160. Scheuerlein, Roy E.; Crowley, Matthew P., Tree decoder structure particularly well-suited to interfacing array lines having extremely small layout pitch.
  161. Rinerson, Darrell; Chevallier, Christophe J.; Kinney, Wayne; Lambertson, Roy; Sanchez, Jr., John E.; Schloss, Lawrence; Swab, Philip; Ward, Edmond, Two-terminal reversibly switchable memory device.
  162. Rinerson, Darrell; Chevallier, Christophe J.; Kinney, Wayne; Lambertson, Roy; Sanchez, Jr., John E.; Schloss, Lawrence; Swab, Philip; Ward, Edmond, Two-terminal reversibly switchable memory device.
  163. Rinerson, Darrell; Chevallier, Christophe J.; Kinney, Wayne; Lambertson, Roy; Sanchez, Jr., John E.; Schloss, Lawrence; Swab, Philip; Ward, Edmond, Two-terminal reversibly switchable memory device.
  164. Li, Yan; Yero, Emilio, Use of data latches in cache operations of non-volatile memories.
  165. Li,Yan; Yero,Emilio, Use of data latches in cache operations of non-volatile memories.
  166. Li,Yan; Cernea,Raul Adrian, Use of data latches in multi-phase programming of non-volatile memories.
  167. Li,Yan; Cernea,Raul Adrian, Use of data latches in multi-phase programming of non-volatile memories.
  168. Bartz, Manfred; Zhaksilikov, Marat; Anderson, Doug, User interface for efficiently browsing an electronic document using data-driven tabs.
  169. Bateman, Bruce, Vertical cross point arrays for ultra high density memory applications.
  170. Bateman, Bruce Lynn, Vertical cross point arrays for ultra high density memory applications.
  171. Bateman, Bruce Lynn, Vertical cross-point arrays for ultra-high-density memory applications.
  172. Sivadasan, Mohandas Palatholmana; Rohilla, Gajendar, Voltage controlled oscillator delay cell and method.
  173. Paudel, Rajan; Sabde, Jagdish; Kochar, Mrinal; Magia, Sagar, Word line look ahead read for word line to word line short detection.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로