$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of electroless plating copper on nitride barrier 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0127463 (1998-07-31)
발명자 / 주소
  • Chwan-Ying Lee TW
  • Tzuen-Hsi Huang TW
출원인 / 주소
  • Industrial Technology Research Institute TW
대리인 / 주소
    George O. Saile
인용정보 피인용 횟수 : 204  인용 특허 : 23

초록

A method with three embodiments of manufacturing metal lines and solder bumps using electroless deposition techniques. The first embodiment uses a PdSix seed layer 50 for electroless deposition. The PdSix layer 50 does not require activation. A metal line is formed on a barrier layer 20 and an adhes

대표청구항

1. A method for forming an interconnect by depositing selectively by electroless deposition a metal using a palladium silicide seed layer comprising the steps of:a) providing a semiconductor structure; b) forming a barrier layer over said semiconductor structure; c) forming an adhesion layer over sa

이 특허에 인용된 특허 (23)

  1. Kellam Mark D. (Chapel Hill NC), Aluminum-palladium alloy for initiation of electroless plating.
  2. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Copper metallization of USLI by electroless process.
  3. Ting Chiu H. (Saratoga CA) Paunovic Milan (Port Washington NY), Electroless deposition for IC fabrication.
  4. Vratny ; Frederick, Electroless deposition of nickel on a masked aluminum surface.
  5. Donaghy Robert E. (Wilmington NC) Sherman Anna H. (Wilmington NC), Electroless deposition process for zirconium and zirconium alloys.
  6. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Electroless gold plating method for forming inductor structures.
  7. Otsuka Kuniaki (Osaka JPX) Torikai Eiichi (Yao JPX) Kawagishi Shigemitsu (Suita JPX) Okuno Kazuyoshi (Ashiya JPX), Electroless palladium plating composition.
  8. Endo Masayuki,JPX ; Kawaguchi Akemi,JPX ; Nishio Mikio,JPX ; Hashimoto Shin,JPX, Electroless plating bath used for forming a wiring of a semiconductor device, and method of forming a wiring of a semic.
  9. Ting Chiu H. (Saratoga CA) Pai Pei-Lin (Cupertino CA), Fully planar metalization process.
  10. Tomari Yoshiaki,JPX ; Kameyama Makoto,JPX ; Nakai Yasuyuki,JPX, Glass circuit substrate and fabrication method thereof.
  11. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Integrated circuit inductor structure formed employing copper containing conductor winding layer clad with nickel contai.
  12. Hooper Robert C. (Houston TX) Harrover Alexander J. (Missouri City TX) VanHoy Michael J. (Stafford TX) Terry Charles E. (Houston TX), Low cost method for forming elevated metal bumps on integrated circuit bodies employing an aluminum/palladium metallizat.
  13. Stone Clark S. (San Jose CA), Materials and methods for etching tungsten polycides using silicide as a mask.
  14. Hu Jeff, Metal silicidation methods and methods for using same.
  15. Ballard Gerald Lee ; Gaudiello John Gerard, Method for electrolessly depositing a metal onto a substrate using mediator ions.
  16. Zakel Elke,DEX ; Aschenbrenner Rolf,DEX ; Ostmann Andreas,DEX ; Kasulke Paul,DEX, Method for galvanic forming of bonding pads.
  17. Ho Vu Quoc (Kanata CAX), Method for making interconnect structures for VLSI devices.
  18. Lin Kwang-Lung (Tainan TWX) Lee Chwan-Ying (Tainan TWX), Method for producing electroless barrier layer and solder bump on chip.
  19. Wong Kaiser H. (Torrance CA), Method of electrolessly depositing metals on a silicon substrate by immersing the substrate in hydrofluoric acid contain.
  20. De Angelo Michael Anthony (Ewing Township ; Mercer County NJ) Sharp Donald Jex (Albuquerque NM), Method of generating precious metal-reducing patterns.
  21. Meyer Heinrich,DEX ; Schulz Ralf,DEX, Process for plating metal coating.
  22. Feldstein Nathan (Princeton NJ) Lindsay Deborah (Leeds GB2), Stabilized composite electroless plating compositions.
  23. Ishikawa Takahide (Itami JPX), Wiring forming method, wiring restoring method and wiring pattern changing method.

이 특허를 인용한 특허 (204)

  1. Pacetti, Stephen Dirk; DesNoyer, Jessica; Chen, Yung Ming; Kleiner, Lothar; Hossainy, Syed F. A., Abluminal, multilayer coating constructs for drug-delivery stents.
  2. Pacetti, Stephen Dirk; DesNoyer, Jessica; Chen, Yung-Ming; Kleiner, Lothar; Hossainy, Syed F. A., Abluminal, multilayer coating constructs for drug-delivery stents.
  3. Sinha, Nishant, Activation of oxides for electroless plating.
  4. Sinha, Nishant, Activation of oxides for electroless plating.
  5. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  6. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  7. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  8. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  10. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  11. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  12. Chen, Yung Ming; Tang, Fuh Wei, Apparatus and method for electrostatic coating of an abluminal stent surface.
  13. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  14. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  15. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  16. Li,Shijian; Chen,Llang Yuh; Duboust,Alain, Articles for polishing semiconductor substrates.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Lubomirsky, Dmitry, Chamber with flow-through source.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  23. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  24. Durlam, Mark A.; Baker, Jeffrey H.; Butcher, Brian R.; Deherrera, Mark F.; D'Urso, John J.; Fuchs, Earl D.; Grynkewich, Gregory W.; Kyler, Kelly W.; Molla, Jaynal A.; Ren, J. Jack; Rizzo, Nicholas D., Cladded conductor for use in a magnetoelectronics device and method for fabricating the same.
  25. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  26. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  27. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  28. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  29. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  30. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  31. Lopatin, Sergey D., Cu/Sn/Pd activation of a barrier layer for electroless CU deposition.
  32. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  35. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  36. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  37. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  38. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  39. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  40. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  41. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  42. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  43. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  44. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  45. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  46. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  47. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  48. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  49. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  50. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  51. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  52. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  53. Baniecki, John D.; Laibowitz, Robert B.; Parks, Christopher C.; Shaw, Thomas M., Gas treatment of thin film structures with catalytic action.
  54. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  55. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  56. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  57. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  58. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  59. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  60. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  61. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  62. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  63. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  64. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  65. Sinha,Nishant, Intermediate semiconductor device having activated oxide-based layer for electroless plating.
  66. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  67. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  68. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  69. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  71. Akiyoshi, Teppei, Metal film and manufacturing method therefor, and laminated ceramic electronic component and manufacturing method therefor.
  72. Ali, Abbas; Kande, Dhishan; Hong, Qi-Zhong; Park, Young-Joon; McPherson, Kyle, Metal interconnect processing for a non-reactive metal stack.
  73. Wan, Kam-To, Metal utilization in supported, metal-containing catalysts.
  74. Wan, Kam-To, Metal utilization in supported, metal-containing catalysts.
  75. Wan, Kam-To, Metal utilization in supported, metal-containing catalysts.
  76. Akram,Salman, Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same.
  77. Lee,Hsien Ming; Lin,Jing Cheng; Pan,Shing Chyang; Tsai,Ming Hsing; Su,Hung Wen; Chou,Shih Wei; Shue,Shau Lin; Cheng,Kuo Wei; Ko,Ting Chu, Method and apparatus for fabricating metal layer.
  78. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  79. Kim, Kil Ho, Method for forming metal line of Al/Cu structure.
  80. Henneck, Stephan; Napetschnig, Evelyn; Pedone, Daniel; Weidgans, Bernhard; Faiss, Simon; Nikitin, Ivan, Method for processing a semiconductor workpiece and semiconductor workpiece.
  81. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  82. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Method for selectively coating surfaces of a stent.
  83. Ko, Jungmin, Method of fin patterning.
  84. Fang, Li-Yen; Tsao, Jung-Chih; Liang, Yao-Hsiang; Lin, Yu-Ku, Method of manufacturing semiconductor device with recess.
  85. Yan,John Y.; Chan,Randy, Method of reducing or eliminating thrombus formation.
  86. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  87. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  88. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  89. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  90. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  91. Xu, Huiwen; Chen, Yung-Tin; Radigan, Steven J., Methods for increased array feature density.
  92. Xu, Huiwen; Chen, Yung-Tin; Radigan, Steven J., Methods for increased array feature density.
  93. Xu, Huiwen; Chen, Yung-Tin; Radigan, Steven J., Methods for increased array feature density.
  94. Akram, Salman, Methods for making metallization structures for semiconductor device interconnects.
  95. Akram, Salman, Methods for making metallization structures for semiconductor device interconnects.
  96. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  97. Shang, Quanyuan; White, John M.; Bachrach, Robert Z.; Law, Kam S., Methods to form metal lines using selective electrochemical deposition.
  98. Craig, Charles H.; Papp, John E.; Jayasinghe, Dudley; Hines, Lionel G.; Orosa, Dennis, Nanobead releasing medical devices.
  99. Ludwig, Florian N., Nanoshell therapy.
  100. Ludwig, Florian Niklas, Nanoshell therapy.
  101. Ludwig, Florian N.; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells for drug delivery.
  102. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  103. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  104. Ludwig, Florian Niklas; Pacetti, Stephen D.; Hossainy, Syed F. A.; Davalian, Dariush, Nanoshells on polymers.
  105. Johnston,Steven W.; Dominguez,Juan E.; McSwiney,Michael L., Noble metal barrier and seed layer for semiconductors.
  106. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  107. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  108. Wan, Kam-To; Leiber, Mark A., Oxidation catalyst and its use for catalyzing liquid phase oxidation reactions.
  109. Coleman,James P.; McGrath,Martin P., Oxidation catalyst and process.
  110. Coleman,James P.; McGrath,Martin P.; Liu,Fuchen; Arhancet,Juan, Oxidation catalyst and process.
  111. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  112. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  113. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  114. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  115. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  116. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  117. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  118. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  119. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  120. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  121. Cha, Hye Yeon; Kweon, Young Do; Yoo, Young Seuck; Lee, Hwan Soo; Choi, Woon Chul, Power inductor and manufacturing method thereof.
  122. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  123. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  124. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  125. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  126. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  127. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  128. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  129. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  130. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  133. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  134. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  135. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  136. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  137. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  138. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  139. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  140. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  141. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  142. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  143. Chen, Yung-Tin; Radigan, Steven J., Resist feature and removable spacer pitch doubling patterning method for pillar structures.
  144. Chen, Yung-Tin; Radigan, Steven J., Resist feature and removable spacer pitch doubling patterning method for pillar structures.
  145. Van Sciver, Jason, Rotatable support elements for stents.
  146. Van Sciver, Jason, Rotatable support elements for stents.
  147. Van Sciver, Jason, Rotatable support elements for stents.
  148. Van Sciver, Jason, Rotatable support elements for stents.
  149. Van Sciver, Jason, Rotatable support elements for stents.
  150. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  151. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  152. Hossainy,Syed F. A.; Mirzaee,Daryush, Selective coating of medical devices.
  153. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  154. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  155. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  156. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  157. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  158. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  159. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  160. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  161. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  162. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  163. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  164. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  165. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  166. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  167. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  168. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  169. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  170. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  171. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  172. Tochterman, Andrew J.; Fox, William J.; Harold, Nathan, Selectively coating luminal surfaces of stents.
  173. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  174. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  175. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  176. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  177. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  178. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  179. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  180. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  181. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  182. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  183. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  184. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  185. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  186. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  187. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  188. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  189. Fox, Jason; Harold, Nathan; Templin, Barry; Tochterman, Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  190. Fox,Jason; Harold,Nathan; Templin,Barry; Tochterman,Andrew, Stent mandrel fixture and method for selectively coating surfaces of a stent.
  191. Pacetti,Stephen D.; Villareal,Plaridel K., Stent mounting assembly and a method of using the same to coat a stent.
  192. Chen, Yung Ming; Ho, Henjen, System and method for coating an implantable medical device.
  193. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  194. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  195. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  196. Arhancet, Juan P.; Liu, Fuchen, Transition metal-containing catalysts and catalyst combinations including transition metal-containing catalysts and processes for their preparation and use as oxidation catalysts.
  197. Liu, Fuchen; Arhancet, Juan P., Transition metal-containing catalysts and catalyst combinations including transition metal-containing catalysts and processes for their preparation and use as oxidation catalysts.
  198. Coleman, James P.; McGrath, Martin P.; Liu, Fuchen; Arhancet, Juan, Transition metal-containing catalysts and processes for their preparation and use as oxidation and dehydrogenation catalysts.
  199. Liu, Fuchen; Arhancet, Juan; Coleman, James P.; McGrath, Martin P., Transition metal-containing catalysts and processes for their preparation and use as oxidation and dehydrogenation catalysts.
  200. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  201. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  202. Leiber,Mark A.; Wan,Kam To, Use of a supplemental promoter in conjunction with a carbon-supported, noble-metal-containing catalyst in liquid phase oxidation reactions.
  203. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  204. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로