$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Diffusion barriers for copper interconnect systems 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/48
출원번호 US-0672473 (2000-09-28)
발명자 / 주소
  • James A. Cunningham
대리인 / 주소
    Allen, Dyer, Doppelt, Milbrath & Gilchrist, P.A.
인용정보 피인용 횟수 : 181  인용 특허 : 25

초록

An integrated circuit includes a substrate, at least one dielectric layer adjacent the substrate, and an interconnect structure in the at least one dielectric layer and comprising a copper portion and a barrier layer between the copper portion and adjacent portions of the at least one dielectric lay

대표청구항

1. An integrated circuit comprising:a substrate; at least one dielectric layer adjacent said substrate; and an interconnect structure in said at least one dielectric layer and comprising a copper portion and a copper-diffusion barrier layer between said copper portion and adjacent portions of said a

이 특허에 인용된 특허 (25)

  1. Asai Makoto (Nikko JPX) Shiga Shoji (Utsunomiya JPX) Tanigawa Toru (Nikko JPX) yama Yoshimasa (Nikko JPX) Shinozaki Shigeo (Nikko JPX), Copper alloy for electronic instruments and method of manufacturing the same.
  2. Tsuzaki Yoshinobu (Hatano JPX) Kato Tetsuo (Shizuoka-ken JPX) Ohota Yukio (Shizuoka-ken JPX) Kakuta Naoki (Shizuoka-ken JPX), Copper alloy for use in electrical and electronic parts.
  3. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  4. Ding Peijun ; Chiang Tony ; Hashim Imran ; Sun Bingxi ; Chin Barry, Copper alloy seed layer for copper metallization in an integrated circuit.
  5. Futatsuka Rensei (Aizuwakamatsu JPX) Sakakibara Tadao (Yukawa JPX) Chiba Shunichi (Aizuwakamatsu JPX), Copper base lead material for leads of semiconductor devices.
  6. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  7. Nishikawa Kiyoaki (Kanagawa JPX) Nobuyoshi Ryoichi (Kanagawa JPX), Corrosion-resistant copper alloy.
  8. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  9. Tsuji Masahiro (Kanagawa JPX) Kawauchi Susumu (Kanagawa JPX) Nakayama Hiroshi (Tokyo JPX), Film carrier and method of manufacturing same.
  10. Tanigawa Toru (Nikko JPX) Kurihara Masaaki (Nikko JPX) Fujii Yasuji (Yokohama JPX) Inaba Toshiaki (Hiratsuka JPX), Fine copper wire for electronic instruments and method of manufacturing the same.
  11. Lopatin Sergey D. ; Nogami Takeshi, Graded compound seed layers for semiconductors.
  12. Nariman Homi E. ; Fulford ; Jr. H. Jim, High-reliability damascene interconnect formation for semiconductor fabrication.
  13. Yamada Keisaku (Ebina JPX) Kakinoki Masami (Yokohama JPX), Liquid crystal display device.
  14. Gardner Donald S., Metal alloy interconnections for integrated circuits.
  15. Andricacos Panayotis Constantinou ; Cabral ; Jr. Cyril ; Carruthers Roy ; Grill Alfred ; Saenger Katherine Lynn, Method and materials for through-mask electroplating and selective base removal.
  16. d\Heurle Francois M. (Ossining NY) Harper James M. E. (Yorktown Heights NY), Method for depositing interconnection metallurgy using low temperature alloy processes.
  17. Dubin Valery M., Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure.
  18. Andricacos Panayotis Constantinou ; Comfort James Hartfiel ; Grill Alfred ; Kotecki David Edward ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn ; Schrott Alejandro Gabriel, Plating of noble metal electrodes for DRAM and FRAM.
  19. Yamagata Kazumi,JPX, Probe card attaching mechanism.
  20. Joshi Rajiv V. ; Cuomo Jerome J. ; Dalal Hormazdyar M. ; Hsu Louis L., Refractory metal capped low resistivity metal conductor lines and vias.
  21. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  22. Aoyama Hisako (Kawasaki JPX) Suguro Kyoichi (Yokohama JPX) Niiyama Hiromi (Yokohama JPX) Tamura Hitoshi (Yokohama JPX) Hayashi Hisataka (Yokohama JPX) Aoyama Tomonori (Kawasaki JPX) Minamihaba Gaku (, Semiconductor device having a wiring layer with a barrier layer.
  23. Okutoh Akira,JPX ; Itoh Yasuyuki,JPX, Semiconductor memory device prevented from deterioration due to activated hydrogen.
  24. Sawada Kazuo (Osaka JPX), Soft copper alloy conductors.
  25. Chiang Tony ; Ding Peijun ; Chin Barry ; Hashim Imran ; Sun Bingxi, Sputter deposition and annealing of copper alloy metallization.

이 특허를 인용한 특허 (181)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  9. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  10. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  11. Yang,Chih Chao; Chen,Shyng Tsong; Ponoth,Shom; Spooner,Terry A., Back end of the line structures with liner and noble metal layer.
  12. Lane, Michael; McFeely, Fenton Read; Murray, Conal; Rosenberg, Robert, Barrier material for copper structures.
  13. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  14. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  15. Thompson,Christopher C.; Brusic,Vlasta; Zhou,Renjie, CMP of copper/ruthenium substrates.
  16. Lubomirsky, Dmitry, Chamber with flow-through source.
  17. Lubomirsky, Dmitry, Chamber with flow-through source.
  18. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  19. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  20. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  21. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  22. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  23. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  24. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  25. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  26. Kim, Ki-Bum; Soininen, Pekka J.; Raaijmakers, Ivo, Copper interconnect structure having stuffed diffusion barrier.
  27. Kim, Ki-Bum; Soininen, Pekka J.; Raaijmakers, Ivo, Copper interconnect structure having stuffed diffusion barrier.
  28. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  29. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  30. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  31. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  32. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  33. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  34. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  35. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  36. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  37. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  38. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  39. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  40. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  41. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  42. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  43. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  44. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  45. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  46. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  47. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  48. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  49. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  50. Yang, Chih Chao; Klymko, Nancy R.; Parks, Christopher C.; Wong, Keith Kwong Hon, Formation of oxidation-resistant seed layer for interconnect applications.
  51. Johnston,Steven W.; Dubin,Valery M.; McSwiney,Michael L.; Moon,Peter, Forming a copper diffusion barrier.
  52. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  53. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  54. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  55. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  56. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  57. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  58. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  59. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  60. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  61. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  62. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  63. Dordi, Yezdi; Boyd, John M.; Redeker, Fritz C.; Thie, William; Arunagiri, Tiruchirapalli; Yoon, Hyungsuk Alexander, Interconnect structure and method of manufacturing a damascene structure.
  64. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  65. Yang, Chih-Chao; Chen, Shyng-Tsong; Li, Baozhen, Interconnect with recessed dielectric adjacent a noble metal cap.
  66. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  67. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  68. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  69. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  71. Cabral, Jr., Cyril; Cotte, John M.; Fisher, Kathryn C.; Kosbar, Laura L.; Lavoie, Christian; Liu, Zhu; Rodbell, Kenneth P.; Shao, Xiaoyan, Method and structure of forming silicide and diffusion barrier layer with direct deposited film on silicon.
  72. Sun,Grace; Zubkov,Vladimir; Barth,William K.; Lakshminarayanan,Sethuraman; Sun,Sey Shing; Suvkhanov,Agajan; Cui,Hao, Method for creating barrier layers for copper diffusion.
  73. Zubkov, Vladimir; Aronowitz, Sheldon, Method for creating barriers for copper diffusion.
  74. Zubkov,Vladimir; Aronowitz,Sheldon, Method for creating barriers for copper diffusion.
  75. Yang, Chih-Chao; Chen, Shyng-Tsong; Ponoth, Shom; Spooner, Terry A., Method for fabricating back end of the line structures with liner and seed materials.
  76. Choi, Hyung Bok; Park, Jong Bum; Lee, Kee Jeung; Lee, Jong Min, Method for fabricating capacitor of semiconductor device.
  77. Lee, Jae Suk, Method for formation of copper diffusion barrier film using aluminum.
  78. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  79. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  80. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  81. Lee, Chwan-Ying; Huang, Tzuen-Hsi, Method of electroless plating copper on nitride barrier.
  82. Ko, Jungmin, Method of fin patterning.
  83. Chyan,Oliver; Ponnuswamy,Thomas, Method of making integrated circuits using ruthenium and its oxides as a Cu diffusion barrier.
  84. Yu, Chen-Hua; Tseng, Horng-Huei, Method of selectively making copper using plating technology.
  85. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  86. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  87. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  88. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  89. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  90. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  91. Yang, Chih-Chao; Hu, Chao-Kun, Nitrogen-containing metal cap for interconnect structures.
  92. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  93. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  94. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  95. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  96. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  97. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  98. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  99. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  100. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  101. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  102. Yang, Chih-Chao; Gaudet, Simon; Lavoie, Christian; Ponoth, Shom; Spooner, Terry A., Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement.
  103. Yang,Chih Chao; Gaudet,Simon; Lavoie,Christian; Ponoth,Shom; Spooner,Terry A., Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement.
  104. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  105. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  106. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  107. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  108. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  109. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  110. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  111. Lane, Michael; McFeely, Fenton Read; Murray, Conal; Rosenberg, Robert, Process of forming copper structures.
  112. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  113. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  114. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  115. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  116. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  117. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  118. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  119. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  120. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  121. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  122. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  123. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  124. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  125. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  126. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  127. Chung,Hua; Ganguli,Seshadri; Marcadal,Christophe; Yu,Jick M., Reduction of copper dewetting by transition metal deposition.
  128. Yang, Chih-Chao; Shaw, Thomas M., Redundant metal barrier structure for interconnect applications.
  129. Yang, Chih-Chao; Shaw, Thomas M., Redundant metal barrier structure for interconnect applications.
  130. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  131. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  132. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  133. Weidman,Timothy W., Ruthenium containing layer deposition method.
  134. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  135. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  136. Andricacos,Panayotis C.; Chen,Shyng Tsong; Cotte,John M.; Deligianni,Hariklia; Krishnan,Mahadevaiyer; Tseng,Wei Tsu; Vereecken,Philippe M., Selective capping of copper wiring.
  137. Andricacos,Panayotis C.; Chen,Shyng Tsong; Cotte,John M.; Deligianni,Hariklia; Krishnan,Mahadevaiyer; Tseng,Wei Tsu; Vereecken,Philippe M., Selective capping of copper wiring.
  138. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  139. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  140. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  141. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  142. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  143. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  144. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  145. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  146. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  147. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  148. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  149. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  150. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  151. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  152. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  153. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  154. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  155. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  156. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  157. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  158. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  159. Miyairi, Hidekazu, Semiconductor device and method for manufacturing the same.
  160. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  161. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  162. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  163. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  164. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  165. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  166. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  167. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  168. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  169. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  170. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  171. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  172. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  173. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  174. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  175. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  176. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  177. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  178. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  179. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  180. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  181. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로