$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Self-assembled monolayer directed patterning of surfaces 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0029317 (1998-11-02)
국제출원번호 PCT/US96/13223 (1996-08-16)
국제공개번호 WO97/07429 (1997-02-27)
발명자 / 주소
  • Clem, Paul G.
  • Jeon, Noo-Li
  • Mrksich, Milan
  • Nuzzo, Ralph G.
  • Payne, David A.
  • Whitesides, George M.
  • Xia, Younan
출원인 / 주소
  • President and Fellows of Harvard College, Board of Trustees of the University of Illinois
대리인 / 주소
    Wolf, Greenfield & Sacks, P.C.
인용정보 피인용 횟수 : 172  인용 특허 : 51

초록

A technique for creating patterns of material deposited on a surface involves forming a self-assembled monolayer in a pattern on the surface and depositing, via chemical vapor deposition or via sol-gel processing, a material on the surface in a pattern complementary to the self-assembled monolayer p

대표청구항

A technique for creating patterns of material deposited on a surface involves forming a self-assembled monolayer in a pattern on the surface and depositing, via chemical vapor deposition or via sol-gel processing, a material on the surface in a pattern complementary to the self-assembled monolayer p

이 특허에 인용된 특허 (51)

  1. Maracas George N. ; Legge Ronald N. ; Goronkin Herbert ; Dworsky Lawrence N., Apparatus and method for patterning a surface.
  2. Maracas George N. (Phoenix AZ) Dworsky Lawrence N. (Scottsdale AZ) Tobin Kathleen (Tempe AZ), Apparatus and method for stamping a surface.
  3. Hacker Nigel P. (Morgan Hill CA) Tyndall ; III George W. (Los Gatos CA), Deposition of diamond films.
  4. Turner David C. ; Martin Brett ; Gaber Bruce P., Direct molecular patterning using a micro-stamp gel.
  5. Fukuda Yuzuru (Ashigara JPX) Yagi Shigeru (Ashigara JPX) Higashi Taketoshi (Ashigara JPX), Electrophotographic photoreceptor and process for preparing the same.
  6. Rogers John A. ; Jackman Rebecca J. ; Whitesides George M., Fabrication of small-scale cylindrical articles.
  7. Dimitrakopoulos Christos Dimitrios ; Duncombe Peter Richard ; Furman Bruce K. ; Laibowitz Robert B. ; Neumayer Deborah Ann ; Purushothaman Sampath, Fabrication of thin film effect transistor comprising an organic semiconductor and chemical solution deposited metal o.
  8. Kumar Amit (Sacramento CA) Whitesides George M. (Newton MA), Formation of microstamped patterns on surfaces and derivative articles.
  9. Everhart Dennis S. ; Kaylor Rosann M. ; Jones Mark L., Gel sensors and method of use thereof.
  10. Robert A. Bellman ; Ljerka Ukrainczyk, High aspect ratio patterning of glass film.
  11. Schnur Joel M. (6009 Lincolnwood Ct. Burke VA 22015) Schoen Paul E. (5006 Taney Ave. Alexandria VA 22304) Peckerar Martin C. (12917 Buccaneer Rd. Silver Spring MD 20904) Marrian Christie R. K. (6805 , High resolution metal patterning of ultra-thin films on solid substrates.
  12. Schnur Joel M. (6009 Lincolnwood Ct. Burke VA 22015) Schoen Paul E. (5006 Taney Ave. Alexandria VA 22304) Peckerar Martin C. (12917 Buccaneer Rd. Silver Spring MD 20904) Marrian Christie R. K. (6805 , High resolution patterning on solid substrates.
  13. Gardner Mark I. ; Gilmer Mark C., Implanted isolation structure formation for high density CMOS integrated circuits.
  14. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  15. Sagiv Jacob (Ness Ziona ILX) Rubinstein Israel (Rishon-le-Zion ILX) Steinberg Suzi (Rehovot ILX) Shanzer Abraham (Rehovot ILX) Tor Yitzhak (Rehovot ILX), Ionic recognition and selective response in self assembling monolayer membranes on electrodes.
  16. Gordon Roy G., Liquid precursors for formation of metal oxides.
  17. Craig Jon Hawker ; James Lupton Hedrick ; Nicholas Abbott, Method for forming polymer brush pattern on a substrate surface.
  18. Jang Syun-Ming (Hsiu-chu TWX) Yu Chen-Hua Douglas (Hsiu-chu TWX), Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers.
  19. Clark Noel A. (Boulder CO) Douglas Kenneth (Boulder CO) Rothschild Kenneth J. (Newton MA), Method for parallel fabrication of nanometer scale multi-device structures.
  20. Stelzle Martin,DEX ; Doppelt Pascal,FRX, Method for treating a substrate surface.
  21. Liao I-Chi (Tao-Yuan Hsien TWX), Method of fabricating a nitride capped MOSFET for integrated circuits.
  22. Lur Water,TWX ; Lin Tony,TWX, Method of fabricating a salicide layer of a device electrode.
  23. Singhvi Rahul ; Kumar Amit ; Whitesides George M. ; Ingber Donald E. ; Lopez Gabriel P. ; Wang Daniel I. C. ; Stephanopoulos Gregory N., Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices an.
  24. Tricia L. Breen ; Peter M. Fryer ; Ronald Wayne Nunes ; Mary Elizabeth Rothwell, Method of forming patterned indium zinc oxide and indium tin oxide films via microcontact printing and uses thereof.
  25. Suleski Thomas J., Method of making optical replicas by stamping in photoresist and replicas formed thereby.
  26. Tseng Horng-Huei,TWX, Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors.
  27. Ayers Michael R., Method of making two-component nanospheres and their use as a low dielectric constant material for semiconductor device.
  28. Lee Don-Hee (Kyungki-do KRX), Method of manufacturing a conductive micro bridge.
  29. Biebuyck Hans,CHX ; Delamarche Emmanuel,CHX ; Michel Bruno,CHX, Method of oriented depositing chemically defined bodies.
  30. Whitesides George M. ; Xia Younan ; Wilbur James L. ; Jackman Rebecca J. ; Kim Enoch ; Prentiss Mara G. ; Mrksich Milan ; Kumar Amit ; Gorman Christopher B. ; Biebuyck Hans,CHX ; Berggren Karl K., Microcontact printing on surfaces and derivative articles.
  31. Liao I-Chi (Tao-Yuan Hsien TWX), Nitride capped MOSFET for integrated circuits.
  32. Burnham Robert D. (Palo Alto CA) Scifres Donald R. (Los Altos CA) Streifer William (Palo Alto CA), Nonplanar substrate injection lasers grown in vapor phase epitaxy.
  33. Risk William P. (Redwood City CA), Optical fiber acousto-optic amplitude modulator.
  34. Maris Humphrey J. ; Stoner Robert J, Optical stress generator and detector.
  35. Ogawa Kazufumi (Hirakata JPX), Pattern forming method.
  36. Liu Yanjing ; Schick Guy A., Patterned molecular self-assembly.
  37. Everhart Dennis S., Polymer films having a printed self-assembling monolayer.
  38. Swartz Scott L. (Dublin OH) Melling Peter J. (Worthington OH), Process for making sol-gel deposited ferroelectric thin films insensitive to their substrates.
  39. Hatada Motoyoshi (Osaka JPX) Ogawa Kazufumi (Osaka JPX) Tamura Hideharu (Tokyo JPX), Process for preparing a monomolecular built-up film.
  40. Ogawa Kazufumi (Hirakata JPX) Tamura Hideharu (Katano JPX) Mino Norihisa (Settsu JPX), Process for producing a polyacetylene.
  41. Nick J. Visovsky ; David D. Wang, Replicating a nanoscale pattern.
  42. Clark Noel A. (Boulder CO) Douglas Kenneth (Boulder CO) Rothschild Kenneth J. (Newton MA), Self-assembled nanometer lithographic masks and templates and method for parallel fabrication of nanometer scale multi-d.
  43. Yitzchaik Shlomo ; Lundquist Paul M. ; Marks Tobin J., Self-assembled superlattices and waveguides prepared for use therewith.
  44. Shuguang Zhang ; Alexander Rich ; Lin Yan ; George Whitesides, Self-assembling peptide surfaces for cell patterning and interactions.
  45. Solin Stuart A. ; Thio Tineke ; Kawano Masaya,JPX, Self-biasing, non-magnetic, giant magnetoresistance sensor.
  46. Hieda Katsuhiko,JPX ; Arikado Tsunetoshi,JPX ; Okumura Katsuya,JPX, Semiconductor memory device and method of manufacturing the same.
  47. Biebuyck Hans Andre,CHX ; Michel Bruno,CHX, Stamp for a lithographic process.
  48. DeGuire Mark R. (Cleveland Heights OH) Heuer Arthur H. (Cleveland OH) Sukenik Chaim N. (Cleveland Heights OH), Synthesis of metal oxide thin films.
  49. Baskaran Suresh ; Graff Gordon L. ; Song Lin, Thin films and uses.
  50. Dimitrakopoulos Christos Dimitrios ; Duncombe Peter Richard ; Furman Bruce K. ; Laibowitz Robert B. ; Neumayer Deborah Ann ; Purushothaman Sampath, Thin-film field-effect transistor with organic semiconductor requiring low operating voltages.
  51. Mori Hisatoshi (Fussa JPX) Sato Syunichi (Kawagoe JPX) Konya Naohiro (Hino JPX) Ohno Ichiro (Hachioji JPX) Ishii Hiromitsu (Tokorozawa JPX) Matsuda Kunihiro (Sagamihara JPX), Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type.

이 특허를 인용한 특허 (172)

  1. Bradley, Michael Scott; Tischler, Jonathan R.; Bulovic, Vladimir, Absorbing film.
  2. Tischler, Jonathan; Bradley, Michael Scott; Bulovic, Vladimir, Absorbing film.
  3. Sreenivasan, Sidlgata V; Watts, Michael P. C.; Choi, Byung J.; Voisin, Ronald D., Alignment methods for imprint lithography.
  4. Iyer, Suresh S.; Pellerite, Mark J.; Jariwala, Chetan P., Amide-linked perfluoropolyether thiol compounds and processes for their preparation and use.
  5. Iyer, Suresh S.; Pellerite, Mark J.; Jariwala, Chetan P., Amide-linked perfluoropolyether thiol compounds and processes for their preparation and use.
  6. Berniard, Tracie J.; Frey, Matthew H.; Behymer, Lance E.; Pekurovsky, Mikhail L.; Greger, Richard W.; Meehan, Daniel P.; O'Hare, Jonathan J., Apparatus and method for microcontact printing using a pressurized roller.
  7. Stone, Kate, Apparatus for and method of fabricating an electronic device by transfer of material onto a substrate.
  8. Kim, Jin Wuk; Lee, Bo Hyun, Apparatus for fabricating flat panel display device and method for fabricating thereof.
  9. Aubry, Nadine N.; Singh, Pushpendra; Janjua, Muhammad M.; Nudurupati, Sai C., Apparatuses and methods for control and self-assembly of particles into adaptable monolayers.
  10. Aubry, Nadine N.; Singh, Pushpendra; Nudurupati, Sai; Janjua, Muhammad Mansoor, Apparatuses and methods for control and self-assembly of particles into adaptable monolayers.
  11. Cherala,Anshuman; Sreenivasan,Sidlgata V.; Schumaker,Norman E., Applying imprinting material to substrates employing electromagnetic fields.
  12. Huang, Wei; Zhao, Jiaqing; Feng, Linrun; Tang, Wei; Guo, Xiaojun, Array substrate and manufacturing method thereof, display panel and display device.
  13. Rogers, John A.; Rockett, Angus A.; Nuzzo, Ralph; Yoon, Jongseung; Baca, Alfred, Arrays of ultrathin silicon solar microcells.
  14. Rogers, John A.; Rockett, Angus A.; Nuzzo, Ralph; Yoon, Jongseung; Baca, Alfred, Arrays of ultrathin silicon solar microcells.
  15. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Watts,Michael P. C., Capillary imprinting technique.
  16. Ghaffari, Roozbeh; Hsu, Yung-Yu, Catheter balloon employing force sensing elements.
  17. Ghaffari, Roozbeh; Callsen, Gilman; Arora, William J.; Schlatka, Benjamin, Catheter balloon having stretchable integrated circuitry and sensor array.
  18. Lee, Stephen P.; Liu, Clifford; Ghaffari, Roozbeh; Work, John; Quivira, Fernando; Klinker, Lauren E.; Hsu, Yung-Yu, Catheter device including flow sensing.
  19. Ghaffari, Roozbeh; Lee, Stephen; Work, John; Wright, Jr., John A.; Klinker, Lauren, Catheter or guidewire device including flow sensing and use thereof.
  20. Ghaffari, Roozbeh; Lee, Stephen; Work, John; Wright, Jr., John A.; Klinker, Lauren, Catheter or guidewire device including flow sensing and use thereof.
  21. Ghaffari, Roozbeh; Lee, Stephen; Work, John; Wright, Jr., John A.; Klinker, Lauren, Catheter or guidewire device including flow sensing and use thereof.
  22. Choi,Byung J.; Voisin,Ronald D.; Sreenivasan,Sidlgata V.; Watts,Michael P. C.; Babbs,Daniel; Meissl,Mario J.; Bailey,Hillman; Schumaker,Norman E., Chucking system for modulating shapes of substrates.
  23. Glime, III, William H., Coated seals.
  24. Glime, William H., Coated seals.
  25. Xu, Frank Y., Composition for adhering materials together.
  26. Xu,Frank Y.; Miller,Michael N.; Watts,Michael P. C., Composition for an etching mask comprising a silicon-containing material.
  27. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F.; Kazlas, Peter T., Composition including material, methods of depositing material, articles including same and systems for depositing material.
  28. Coe-Sullivan, Seth; Anc, Maria J.; Kim, Leeann; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F.; Kazlas, Peter T., Composition including material, methods of depositing material, articles including same and systems for depositing material.
  29. Coe-Sullivan, Seth; Anc, Maria J.; Kim, LeeAnn; Ritter, John E.; Cox, Marshall; Breen, Craig; Bulovic, Vladimir; Kymissis, Ioannis; Praino, Jr., Robert F., Composition including material, methods of depositing material, articles including same and systems for depositing materials.
  30. Xu,Frank Y.; Miller,Michael N., Composition to reduce adhesion between a conformable region and a mold.
  31. Sreenivasan,Sidlgata V; Choi,Byung J.; Voisin,Ronald D., Conforming template for patterning liquids disposed on substrates.
  32. Hubert, Brian; Bulthaup, Colin; Gudeman, Chris; Spindt, Chris; Haubrich, Scott; Takashima, Mao; Rockenberger, Joerg; Kunze, Klaus; Zurcher, Fabio, Contact print methods.
  33. Uhland, Scott A.; Polito, Benjamin F.; Maloney, John M.; Sheppard, Jr., Norman F.; Herman, Stephen J.; Yomtov, Barry M., Containment device with multi-layer reservoir cap structure.
  34. Mrksich, Milan; Hodneland, Christian, Controlled release composition.
  35. Uhland,Scott A.; Polito,Benjamin F.; Maloney,John M.; Sheppard, Jr.,Norman F.; Herman,Stephen J.; Yomtov,Barry Y., Controlled release device and method using electrothermal ablation.
  36. Bhattacharya,Rabin; Wagner,Sigurd, Deformable organic devices.
  37. Henderson, Eric R.; Nettikadan, Saju R.; Mosher, Curtis L., Device and method of use for detection and characterization of pathogens and biological materials.
  38. Singhvi, Rahul; Kumar, Amit; Whitesides, George M.; Ingber, Donald E.; Lopez, Gabriel P.; Wang, Daniel I. C.; Stephanopoulos, Gregory, Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  39. Singhvi,Rahul; Kumar,Amit; Whitesides,George M.; Ingber,Donald E.; Lopez,Gabriel P.; Wang,Daniel I. C.; Stephanopoulos,Gregory N., Device containing cytophilic islands that adhere cells separated by cytophobic regions.
  40. Kazlas, Peter T.; Zhou, Zhaoqun; Niu, Yuhua; Kim, Sang-Jin; Mashford, Benjamin S., Device including quantum dots.
  41. Kazlas, Peter T.; Zhou, Zhaoqun; Niu, Yuhua; Kim, Sang-Jin; Mashford, Benjamin S., Device including quantum dots.
  42. Coe-Sullivan, Seth; Moeller, Gregory V.; Bulovic, Vladimir; Kymissis, Ioannis, Displays including semiconductor nanocrystals and methods of making same.
  43. Aviram,Ari, Electrical contacts for molecular electronic transistors.
  44. Arango, Alexi; Bulovic, Vladimir; Oertel, David; Bawendi, Moungi G., Electro-optical device.
  45. Bawendi, Moungi; Porter, Venda J.; Kastner, Marc; Mentzel, Tamar, Electro-optical device.
  46. Bawendi, Moungi; Porter, Venda J.; Kastner, Marc; Mentzel, Tamar, Electro-optical device including nanocrystals.
  47. Higuchi,Mitsuru; Negishi,Keiichi; Ayame,Daisuke; Abe,Kazunori; Takeuchi,Shinji, Electronic endoscope for highlighting blood vessel.
  48. Rafferty, Conor; Dalal, Mitul, Embedding thin chips in polymer.
  49. Henderson,Eric; Mosher,Curtis, Evaluating binding affinities by force stratification and force panning.
  50. Cooper, Kevin; Kordic, Srdjan, Fabrication of self-assembled nanowire-type interconnects on a semiconductor device.
  51. Fletcher, Edward B.; Ye, Zhengmao; LaBrake, Dwayne L.; Xu, Frank Y., Facilitating adhesion between substrate and patterned layer.
  52. Kazlas, Peter T.; Cox, Marshall; Coe-Sullivan, Seth; Kymissis, Ioannis, Flexible devices including semiconductor nanocrystals, arrays, and methods.
  53. Elolampi, Brian; Ghaffari, Roozbeh; de Graff, Bassel; Arora, William; Hu, Xiaolong, Flexible electronic structure.
  54. Extrand, Charles W., Fluid handling component with ultraphobic surfaces.
  55. Extrand, Charles W., Fuel cell with ultraphobic surfaces.
  56. Angeley,David, Grating sensor.
  57. Ho, John; Bulovic, Vladimir; Osedach, Tim, High efficiency multi-layer photovoltaic devices.
  58. Sreenivasan, Sidlgata V.; Choi, Byung J.; Colburn, Matthew; Bailey, Todd, High-resolution overlay alignment methods for imprint lithography.
  59. Coe-Sullivan, Seth; Moeller, Gregory V., Hyperspectral imaging device.
  60. Sreenivasan,Sidlgata V.; Schumaker,Philip D., Imprint lithography template having opaque alignment marks.
  61. Tan, Li; Kong, Yen Peng; Pang, Stella W.; Yee, Albert F., Imprinting polymer film on patterned substrate.
  62. Zu, Lijun; Frey, Matthew H., Ink solutions for microcontact printing.
  63. Haubrich, Scott; Kunze, Klaus; Dunphy, James C; Gudeman, Chris; Rockenberger, Joerg; Zurcher, Fabio; Sleiman, Nassrin; Takashima, Mao; Spindt, Chris, Interface layer for the fabrication of electronic devices.
  64. Haubrich,Scott; Kunze,Klaus; Dunphy,James C.; Gudeman,Chris; Rockenberger,Joerg; Zurcher,Fabio; Sleiman,Nassrin; Takashima,Mao; Spindt,Chris, Interface layer for the fabrication of electronic devices.
  65. Whitesides, George M.; Kumar, Amit, Kit For Microcontact Printing.
  66. Kobrin, Boris, Large area nanopatterning method and apparatus.
  67. Kobrin, Boris; Volf, Boris; Landau, Igor, Large area nanopatterning method and apparatus.
  68. Tischler, Jonathan; Bradley, Michael Scott; Bulovic, Vladimir, Light emitting device.
  69. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Halpert, Jonathan E.; Arango, Alexi, Light emitting device including semiconductor nanocrystals.
  70. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Halpert, Jonathan E.; Arango, Alexi, Light emitting device including semiconductor nanocrystals.
  71. Chen, Jianglong; Bulovic, Vladimir; Anikeeva, Polina; Bawendi, Moungi G., Light emitting device including semiconductor nanocrystals.
  72. Coe-Sullivan, Seth; Steckel, Jonathan S.; Kim, LeeAnn; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  73. Wood, Vanessa; Panzer, Matthew J.; Caruge, Jean-Michel; Halpert, Jonathan E.; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  74. Wood, Vanessa; Panzer, Matthew J.; Halpert, Jonathan E.; Bawendi, Moungi G.; Bulovic, Vladimir, Light emitting device including semiconductor nanocrystals.
  75. Bawendi, Moungi G.; Bulovic, Vladimir; Coe-Sullivan, Seth; Caruge, Jean-Michel; Steckel, Jonathan; Arango, Alexi; Halpert, Jonathan E., Light emitting devices including semiconductor nanocrystals.
  76. Coe-Sullivan, Seth; Cox, Marshall; Roush, Caroline J.; Steckel, Jonathan S., Light-emitting devices and displays with improved performance.
  77. Coe-Sullivan, Seth; Ramprasad, Dorai; Kymissis, Ioannis; Bulovic, Vladimir; Cox, Marshall; Roush, Caroline J.; Kazlas, Peter T.; Steckel, Jonathan S., Light-emitting devices and displays with improved performance.
  78. Kobrin, Boris, Lithography method.
  79. Nimmakayala,Pawan K.; Sreenivasan,Sidlgata V.; Choi,Byung Jin; Cherala,Anshuman, Magnification correction employing out-of-plane distortion of a substrate.
  80. Kobrin, Boris, Mask for near-field lithography and fabrication the same.
  81. Kobrin, Boris, Material deposition over template.
  82. Xu, Frank Y.; Watts, Michael P. C.; Stacey, Nicholas A., Materials for imprint lithography.
  83. Natori, Eiji; Hasegawa, Kazumasa; Oguchi, Koichi; Nishikawa, Takao; Shimoda, Tatsuya, Memory cell array having ferroelectric capacity, method of manufacturing the same and ferroelectric memory device.
  84. McCreery, Richard L.; Bonifas, Andrew P.; Lui, Vicki Wai-Shum, Metal contacts for molecular device junctions and surface-diffusion-mediated deposition.
  85. Masters, Brett P., Method and apparatus for analyzing bioprocess fluids.
  86. Kobrin, Boris, Method and apparatus for anisotropic etching.
  87. Masters, Brett P.; Miller, Michael; Gulati, Vishal K.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for detecting estradiol and metabolites thereof using an acoustic device.
  88. Miller,Michael F.; Masters,Brett P.; Lundstrom,Mark E., Method and apparatus for detection of analyte using a flexural plate wave device and magnetic particles.
  89. Srivastava, Alok; Wang, Wayne U.; Miller, Michael; Masters, Brett P.; Lundstrom, Mark, Method and apparatus for detection of analyte using an acoustic device.
  90. Henderson,Eric; Mosher,Curtis; Huff,Janice, Method and apparatus for molecular analysis in small sample volumes.
  91. Henderson,Eric; Mosher,Curtis; Lynch,Michael P., Method and apparatus for solid state molecular analysis.
  92. Miller, Michael; Masters, Brett P.; Lundstrom, Mark; Srivastava, Alok; Wang, Wayne U., Method and apparatus for therapeutic drug monitoring using an acoustic device.
  93. Kobrin, Boris, Method and device for patterning a disk.
  94. Xu, Frank Y., Method for adhering materials together.
  95. Xu, Frank; McMackin, Ian; Lad, PanKaj B.; Watts, Michael P. C., Method for controlling distribution of fluid components on a body.
  96. Watts,Michael P. C.; Sreenivasan,Sidlgata V., Method for fabricating bulbous-shaped vias.
  97. Baek, Myoung-Kee; Park, Kwon-Shik, Method for forming pattern using printing process.
  98. Xu, Frank Y.; Sreenivasan, Sidlgata V.; Fletcher, Edward Brian, Method for imprint lithography utilizing an adhesion primer layer.
  99. Wong,William S.; Chabinyc,Michael L.; Ready,Steven E.; Kneissl,Michael A.; Teepe,Mark R., Method for integration of microelectronic components with microfluidic devices.
  100. Choi, Byung J.; Voisin, Ronald D.; Sreenivasan, Sidlgata V.; Watts, Michael P. C.; Willson, C. Grant; Schumaker, Norman E.; Meissl, Mario J., Method for modulating shapes of substrates.
  101. Whelan, Caroline; Sutcliffe, Victor, Method for selective deposition of a thin self-assembled monolayer.
  102. Whelan,Caroline; Sutcliffe,Victor, Method for selective deposition of a thin self-assembled monolayer.
  103. Jennison, Dwight R.; Bogicevic, Alexander; Kelber, Jeffry A.; Chambers, Scott A., Method of adhesion between an oxide layer and a metal layer.
  104. Choi, Byung-Jin; Sreenivasan, Sidlgata V.; Willson, Carlton Grant; Colburn, Mattherw E.; Bailey, Todd C.; Ekerdt, John G., Method of automatic fluid dispensing for imprint lithography processes.
  105. Bradley, Donal; Chen, Lichun; Dagenaar, Patrick, Method of depositing organic layers onto a substrate.
  106. Shen, Wei; Li, Xu; Tian, Junfei; Khan, Mohidus Samad; Garnier, Gil, Method of fabricating microfluidic systems.
  107. Lim, Zheng-Yi; Wu, Yi-Wen; Lu, Wen-Hsiung; Lin, Chih-Wei; Yang, Tzong-Huann; Lin, Hsiu-Jen; Cheng, Ming-Da; Liu, Chung-Shi, Method of forming metal pillar.
  108. Sreenivasan,Sidlgata V., Method of forming stepped structures employing imprint lithography.
  109. Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making high performance optical edge and notch filters and resulting products.
  110. Clarke,Glenn; Erdogan,Turan; Foss,Joseph T.; Wang,Ligang, Method of making highly discriminating optical edge filters and resulting products.
  111. Delamarche, Emmanuel; Geissler, Matthias; Wolf, Heiko, Method of patterning the surface of an article using positive microcontact printing.
  112. Rubin, Daniel I., Method of reducing pattern distortions during imprint lithography processes.
  113. Sreenivasan, Sidlgata V.; Watts, Michael P. C., Method to arrange features on a substrate to replicate features having minimal dimensional variability.
  114. Blanchet, Graciela Beatriz; Lee, Hee Hyun, Method to form a pattern of functional material on a substrate using a mask material.
  115. Choi,Byung Jin; Xu,Frank Y.; Stacey,Nicholas A.; Truskett,Van Xuan Hong; Watts,Michael P. C., Method to reduce adhesion between a conformable region and a pattern of a mold.
  116. Truskett,Van N.; Mackay,Christopher J.; Choi,B. Jin, Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer.
  117. Sauer Budge, Alexis F.; Fitch, Eric; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting bacteria using an acoustic device.
  118. Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting cardiac injury markers using an acoustic device.
  119. Sauer Budge, Alexis F.; Masters, Brett P.; Miller, Michael; Lundstrom, Mark, Methods and apparatus for detecting viruses using an acoustic device.
  120. Coe-Sullivan, Seth; Kymissis, Joannis, Methods and articles including nanomaterial.
  121. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  122. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  123. Bulthaup, Colin; Spindt, Chris, Methods for patterning using liquid embossing.
  124. Cox, Marshall; Kim, LeeAnn; Breen, Craig; Anc, Maria J.; Coe-Sullivan, Seth; Kazlas, Peter T., Methods of depositing nanomaterial and methods of making a device.
  125. Whitesides, George M.; Xia, Younan; Wilbur, James L.; Jackman, Rebecca J.; Kim, Enoch; Prentiss, Mara G.; Mrksich, Milan; Kumar, Amit; Gorman, Christopher B.; Biebuyck, Hans; Berggren, Karl K., Methods of etching articles via microcontact printing.
  126. Voisin,Ronald D., Methods of inspecting a lithography template.
  127. Voisin,Ronald D., Methods of manufacturing a lithography template.
  128. Frey, Matthew H.; Berniard, Tracie J.; Boehmer, Roxanne A., Methods of patterning a deposit metal on a substrate.
  129. Frey, Matthew H.; Nguyen, Khanh P., Methods of patterning a material on polymeric substrates.
  130. Zu, Lijun; Frey, Matthew H.; Kang, Myungchan; Tokie, Jeffrey H., Methods of wet etching a self-assembled monolayer patterned substrate and metal patterned articles.
  131. McKinstry, Susan Trolier; Randall, Clive A.; Nagata, Hajime; Pinceloup, Pascal G.; Baeson, James J.; Skamser, Daniel J.; Randall, Michael S.; Tajuddin, Azizuddin, Microcontact printed thin film capacitors.
  132. McKinstry, Susan Trolier; Randall, Clive A.; Nagata, Hajime; Pinceloup, Pascal I.; Beeson, James J.; Skamser, Daniel J.; Randall, Michael S.; Tajuddin, Azizuddin, Microcontact printed thin film capacitors.
  133. Mogi, Hiroshi, Microcontact printing stamp.
  134. Cohen, David Samuel; Feaster, Shawn Ray, Microfluidic assay devices.
  135. Extrand, Charles W.; Wright, Michael, Microfluidic device with ultraphobic surfaces.
  136. Lazovsky,David E.; Chiang,Tony P.; Keshavarz,Majid, Molecular self-assembly in substrate processing.
  137. Hammond Cunningham,Paula T.; Park,Juhyun, Multilayer transfer patterning using polymer-on-polymer stamping.
  138. Henderson,Eric; Mosher,Curtis, Nanoscale molecular arrayer.
  139. Snee, Preston T.; Chan, Yin Thai; Nocera, Daniel G.; Bawendi, Moungi G., Optical feedback structures and methods of making.
  140. Haffner, Ken Yves; Kaiser, Tony; Shklover, Valery, Optical sensor device for local analysis of a combustion process in a combustor of a thermal power plant.
  141. Yang, Cheng; Irving, Lyn M.; Levy, David H.; Cowdery-Corvan, Peter J.; Freeman, Diane C., Organosiloxane materials for selective area deposition of inorganic materials.
  142. Kijima, Takeshi; Honda, Yuuji, PBNZT ferroelectric film, sol-gel solution, film forming method and method for producing ferroelectric film.
  143. Ryan, Declan; Amir-Parviz, Babak; Linder, Vincent; Semetey, Vincent; Sia, Samuel K.; Whitesides, George M., Patterning and alteration of molecules.
  144. Zu, Lijun; Frey, Matthew H.; Iyer, Suresh S., Patterning process.
  145. Kagan,Cherie R; Carmichael,Tricia Breen; Kosbar,Laura Louise, Patterning solution deposited thin films with self-assembled monolayers.
  146. Sreenivasan,Sidlgata V., Positive tone bi-layer imprint lithography method.
  147. Landais, Stéphane; Bouamrane, Fayçal; Bouvet, Thomas; Dessornes, Olivier; Josso, Pierre; Megtert, Stéphan; Valle, Roger, Process for fabricating high-precision objects by high-resolution lithography and dry deposition and objects thus obtained.
  148. Gracias, David H.; Kloster, Grant, Protecting metal conductors with sacrificial organic monolayers.
  149. Ghaffari, Roozbeh; Schlatka, Benjamin; Callsen, Gilman; de Graff, Bassel, Protective cases with integrated electronics.
  150. Crawley,Ed; Lundstrom,Mark; Masters,Brett; Srivastava,Alok; Schmidt,Martin; Miller,Michael, Resonant sensor and sensing system.
  151. Arenas, Jaime E.; Choi, Hyun-Goo; Dickerson, William Matthew; Hembree, Sarah Beth; Madison, Lara Louise; Masters, Brett P.; Miller, Michael F.; Wang, Wayne U., Reusable detection surfaces and methods of using same.
  152. Sharma, Ajay K.; King, Sean; Hanken, Dennis; Ott, Andrew W., Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal.
  153. Ostuni,Emanuele; Chen,Christopher S.; Ingber,Donald E.; Whitesides,George M., Selective deposition of materials on countoured surfaces.
  154. Guan,Jingjiao; Hansford,Derek J.; Lee,L. James, Self-folding polymer microparticles.
  155. Sreenivasan,Sidlgata V.; Choi,Byung J.; Schumaker,Norman E.; Voisin,Ronald D.; Watts,Michael P. C.; Meissl,Mario J., Step and repeat imprint lithography processes.
  156. Wagner,Sigurd; Lacour,Stephanie Perichon; Suo,Zhigang, Stretchable and elastic interconnects.
  157. Shen, Wei; Li, Xu; Tian, Junfie; Nguyen, Thanh Huynh; Garnier, Gil, Switches for microfluidic systems.
  158. Choi,Byung J.; Sreenivasan,Sidlgata V., System for determining characteristics of substrates employing fluid geometries.
  159. Choi,Byung Jin; Sreenivasan,Sidlgata V.; Meissl,Mario J., Systems for magnification and distortion correction for imprint lithography processes.
  160. de Graff, Bassel; Ghaffari, Roozbeh; Arora, William J., Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy.
  161. Ghaffari, Roozbeh; de Graff, Bassel; Callsen, Gilman; Arora, William J.; Schlatka, Benjamin; Kuznetsov, Eugene, Systems, methods, and devices using stretchable or flexible electronics for medical applications.
  162. Ghaffari, Roozbeh; de Graff, Bassel; Callsen, Gilman; Arora, William J.; Schlatka, Benjamin; Kuznetsov, Eugene, Systems, methods, and devices using stretchable or flexible electronics for medical applications.
  163. Ott, Andrew; King, Sean; Sharma, Ajay, Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate.
  164. Ott, Andrew; King, Sean; Sharma, Ajay, Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate, and method therefor.
  165. Extrand, Charles W., Tray carrier with ultraphobic surfaces.
  166. Xu, Frank Y.; Fletcher, Edward Brian, Ultra-thin polymeric adhesion layer.
  167. Extrand, Charles W., Ultraphobic surface for high pressure liquids.
  168. Rinko, Kari, Ultrathin lighting element.
  169. Rinko, Kari, Ultrathin lighting element.
  170. Rinko, Kari J., Ultrathin lighting element.
  171. Rodriguez, Mark A.; Bell, Nelson S.; Clem, Paul G.; Edney, Cynthia; Griego, James, Vanadium oxide for infrared coatings and methods thereof.
  172. Extrand, Charles W., Wafer carrier with ultraphobic surfaces.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로