$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Semiconductor device having MIS field effect transistors or three-dimensional structure 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/94
  • H01L-029/00
  • H01L-029/06
출원번호 US-0960347 (2001-09-24)
우선권정보 JP-0297672 (2000-09-28)
발명자 / 주소
  • Inaba, Satoshi
  • Ohuchi, Kazuya
출원인 / 주소
  • Kabushiki Kaisha Toshiba
대리인 / 주소
    Finnegan, Henderson, Farabow, Garrett & Dunner, L.L.P.
인용정보 피인용 횟수 : 244  인용 특허 : 10

초록

A semiconductor projection is formed on a semiconductor substrate of the first conductivity type and has a semiconductor layer of the first conductivity type. The semiconductor projection has a top surface and side surfaces. A gate electrode is formed above at least the side surfaces of the semicond

대표청구항

A semiconductor projection is formed on a semiconductor substrate of the first conductivity type and has a semiconductor layer of the first conductivity type. The semiconductor projection has a top surface and side surfaces. A gate electrode is formed above at least the side surfaces of the semicond

이 특허에 인용된 특허 (10)

  1. Haraszti Tegzi P. (Garden Grove CA), Merged field effect transistor circuit and fabrication process.
  2. Hisamoto Dai (Kokubunji JPX) Kaga Toru (Urawa JPX) Kimura Shinichiro (Hachioji JPX) Moniwa Masahiro (Hannou JPX) Tanaka Haruhiko (Kokubunji JPX) Hiraiwa Atsushi (Kodaira JPX) Takeda Eiji (Koganei JPX, Method of manufacturing a semiconductor device having silicon islands.
  3. Brush Linda S. ; Zeng Jun ; Hackenberg John J. ; Linn Jack H. ; Rouse George V., Power trench transistor device source region formation using silicon spacer.
  4. Nemati Farid ; Plummer James D., Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches.
  5. Sugiyama Naoharu,JPX ; Kurobe Atsushi,JPX, Semiconductor device and memory device.
  6. Mizuno Tomohisa,JPX ; Ushiku Yukihiro,JPX ; Yoshimi Makoto,JPX ; Terauchi Mamoru,JPX ; Kawanaka Shigeru,JPX, Semiconductor device having a projecting element region.
  7. Zhang Hongyong,JPX, Semiconductor device having improved crystal orientation.
  8. Nishida Akio (Misato JPX) Murakami Eiichi (Hachioji JPX) Nakagawa Kiyokazu (Sayama JPX), Semiconductor projections having layers with different lattice constants.
  9. Darwin A. Clampitt, Semiconductor structure having more usable substrate area and method for forming same.
  10. Malaviya Shashi D. (Hopewell Junction NY), Stud-defined integrated circuit structure.

이 특허를 인용한 특허 (244)

  1. Yu, Bin; Ahmed, Shibly S.; Wang, Haihong, Additional gate control for a double-gate MOSFET.
  2. Kavalieros,Jack T.; Shah,Uday; Rachmady,Willy; Doyle,Brian S., Apparatus and method for selectively recessing spacers on multi-gate devices.
  3. Radosavljevic,Marko; Majumdar,Amlan; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark L.; Brask,Justin K.; Shah,Uday; Datta,Suman; Chau,Robert S., Block contact architectures for nanoscale channel transistors.
  4. Cheng,Shui Ming; Fung,Ka Hing; Wang,Yin Pin, Body contact formation in partially depleted silicon on insulator device.
  5. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  6. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  7. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  8. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  9. Yeo, Yee-Chia; Hu, Chenming, Capacitor that includes high permittivity capacitor dielectric.
  10. Luyken,Richard J.; Hofmann,Franz; Risch,Lothar; Manger,Dirk; R��sner,Wolfgang; Schl��sser,Till; Specht,Michael, DRAM memory cell.
  11. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Damascene gate process with sacrificial oxide in semiconductor devices.
  12. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Damascene tri-gate FinFET.
  13. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  14. Noh, Jung-Hun; Kim, Myung-Hwan; Cho, Seung-Hwan, Display substrate and method of manufacturing the same.
  15. Noh, Jung-Hun; Kim, Myung-Hwan; Cho, Seung-Hwan, Display substrate having a protruding barrier pattern.
  16. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  17. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  18. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  19. Lin, Ming-Ren; An, Judy Xilin; Krivokapic, Zoran; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Double and triple gate MOSFET devices and methods for making same.
  20. Lin, Ming-Ren; An, Judy Xilin; Krivokapic, Zoran; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Double and triple gate MOSFET devices and methods for making same.
  21. Anderson, Brent A.; Nowak, Edward J., Double gate isolation.
  22. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Double gate semiconductor device having a metal gate.
  23. Lee, Jong-Ho, Double-gate FinFET device and fabricating method thereof.
  24. Lee,Jong Ho, Double-gate flash memory device.
  25. Yu, Bin; An, Judy Xilin, Double-gate semiconductor device.
  26. Yu, Bin; Ahmed, Shibly S.; Wang, Haihong, Double-gate semiconductor device with gate contacts formed adjacent sidewalls of a fin.
  27. Kavalieros, Jack T.; Mukherjee, Niloy; Dewey, Gilbert; Somasekhar, Dinesh; Doyle, Brian S., Embedded memory cell and method of manufacturing same.
  28. Chen, Ying-Tsung; Lin, Chien-Ting; Tsai, Shih-Hung; Fu, Ssu-I; Chiang, Wen-Tai, Fabrication method of a non-planar transistor.
  29. Adan,Alberto O, Field effect transistor and fabrication method thereof.
  30. Popp,Martin; Richter,Frank; Temmler,Dietmar; Wich Glasen,Andreas, Field effect transistor and method for fabricating it.
  31. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  32. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  33. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  34. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  35. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  36. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  37. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  38. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  39. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  40. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  41. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  42. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  43. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  44. Anderson, Brent A.; Ludwig, Thomas; Nowak, Edward J., Field effect transistor with raised source/drain fin straps.
  45. Anderson,Brent A.; Ludwig,Thomas; Nowak,Edward J., Field effect transistor with raised source/drain fin straps.
  46. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  47. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  48. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  49. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  50. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  51. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, Fin FET and method of fabricating same.
  52. Kim,Keun Nam; Yang,Hung Mo; Lee,Choong Ho, Fin FET and method of fabricating same.
  53. Lee,Choong Ho; Park,Dong Gun; Youn,Jae Man; Lee,Chul, Fin FET structure.
  54. Kuo, Chih-Wei; Chen, Hou-Yu; Yang, Shyh-Horng, Fin field effect transistor having a highly doped region.
  55. Lee,Deok Hyung; Bae,In Deog; Lee,Byeong Chan; Lee,Jong Wook, Fin field effect transistors with low resistance contact structures.
  56. Morikado,Mutsuo, Fin semiconductor device and method for fabricating the same.
  57. Morikado,Mutsuo, Fin semiconductor device and method for fabricating the same.
  58. Fujiwara,Makoto; Ishimaru,Kazunari; Hokazono,Akira, Fin-shaped semiconductor device.
  59. Fried,David M.; Nowak,Edward J., Fin-type resistors.
  60. Breitwisch,Matthew; Nowak,Edward J., FinFET SRAM cell using inverted FinFET thin film transistors.
  61. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., FinFET SRAM cell with chevron FinFET logic.
  62. Buynoski,Matthew S.; An,Judy Xilin; Yu,Bin, FinFET device with multiple channels.
  63. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, FinFET device with multiple fin structures.
  64. Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E., FinFET gate formation using reverse trim and oxide polish.
  65. Chen, Chia-Chung; Huang, Chi-Feng; Liang, Victor Chiang, FinFET having isolation structure and method of forming the same.
  66. Chen, Chia-Chung; Tsai, Fu-Huan; Yuan, Feng, FinFET having isolation structure and method of forming the same.
  67. Chan, Kevin K.; Ouyang, Qiqing Christine; Park, Dae-Gyu; Wang, Xinhui, FinFET with longitudinal stress in a channel.
  68. Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Tabery, Cyrus E., Finfet gate formation using reverse trim of dummy gate.
  69. Chan, Kevin K.; Hashemi, Pouya; Khakifirooz, Ali; Ott, John A.; Reznicek, Alexander, Formation of FinFET junction.
  70. Yeo, Yee Chia; Chen, Hao Yu; Yang, Fu Liang; Hu, Chenming, Gate electrode for a semiconductor fin device.
  71. Yeo,Yee Chia; Chen,Hao Yu; Yang,Fu Liang; Hu,Chenming, Gate electrode for a semiconductor fin device.
  72. An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Germanium MOSFET devices and methods for making same.
  73. An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Germanium MOSFET devices and methods for making same.
  74. An,Judy Xilin; Krivokapic,Zoran; Wang,Haihong; Yu,Bin, Germanium MOSFET devices and methods for making same.
  75. Shaheen,Mohamad A.; Doyle,Brian; Dutta,Suman; Chau,Robert S.; Tolchinsky,Peter, High mobility tri-gate devices and methods of fabrication.
  76. Nowak,Edward J., High-density FinFET integration scheme.
  77. Nowak, Edward J., High-density finFET integration scheme.
  78. Chen, Neng-Kuo; Chang, Chih-Hsiang; Tzeng, Kuo-Hwa; Tsai, Cheng-Yuan, Hybrid gap-fill approach for STI formation.
  79. Fried,David M.; Nowak,Edward J.; Rankin,Jed H., Implanted asymmetric doped polysilicon gate FinFET.
  80. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  81. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  82. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  83. Chang,Peter L. D.; Doyle,Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  84. Doyle,Brian S.; Chang,Peter L. D., Independently accessed double-gate and tri-gate transistors in same process flow.
  85. Lee,Deok Hyung; Lee,Byeong Chan; Choi,Si Young; Kim,Taek Jung; Son,Yong Hoon; Jung,In Soo, Integrated circuit field effect transistors including channel-containing fin having regions of high and low doping concentrations.
  86. Chang, Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  87. Chang,Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  88. Datta,Suman; Brask,Justin K.; Kavalieros,Jack; Doyle,Brian S.; Dewey,Gilbert; Doczy,Mark L.; Chau,Robert S., Lateral undercut of metal gate in SOI device.
  89. Lojek,Bohumil, Lithography-independent fabrication of small openings for forming vertical mos transistor.
  90. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  91. Siprak, Domagoj, Method and apparatus for reducing flicker noise in a semiconductor device.
  92. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Method and structure to create multiple device widths in FinFET technology in both bulk and SOI.
  93. Anderson,Brent A.; Nowak,Edward J.; Rankin,Jed H., Method and structure to create multiple device widths in FinFET technology in both bulk and SOI.
  94. Fried, David M.; Nowak, Edward J., Method for fabricating multiple-plane FinFET CMOS.
  95. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  96. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  97. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  98. Kang,Hee Soo; Lee,Chul; Kim,Tae Yong; Park,Dong Gun; Ahn,Young Joon; Lee,Choong Ho; Han,Sang Yeon, Method for forming a FinFET by a damascene process.
  99. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  100. Buynoski, Matthew S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yang, Chih-Yuh; Yu, Bin, Method for forming fins in a FinFET device using sacrificial carbon layer.
  101. Lin, Ming-Ren; Wang, Haihong; Yu, Bin, Method for forming structures in finfet devices.
  102. Krivokapic, Zoran; An, Judy Xilin; Yu, Bin, Method for forming tri-gate FinFET with mesa isolation.
  103. Tews, Helmut, Method for producing a vertical field effect transistor.
  104. Shah,Uday; Doyle,Brian S.; Brask,Justin K.; Chau,Robert S., Method of fabricating a multi-cornered film.
  105. Kim, Suk-Pil; Park, Yoon-Dong; Kim, Won-Joo; Park, Dong-Gun; Cho, Eun-Suk; Sung, Suk-Kang; Choi, Byung-Yong; Kim, Tae-Yong; Lee, Choong-Ho, Method of fabricating a semiconductor device having a single gate electrode corresponding to a pair of fin-type channel regions.
  106. Kim,Suk Pil; Park,Yoon Dong; Kim,Won Joo; Park,Dong Gun; Cho,Eun Suk; Sung,Suk Kang; Choi,Byung Yong; Kim,Tae Yong; Lee,Choong Ho, Method of fabricating a semiconductor device having a single gate electrode corresponding to a pair of fin-type channel regions.
  107. Yeo,Yee Chia; Hu,Chenming, Method of forming a capacitor that includes forming a bottom electrode in a strained silicon layer.
  108. Brask,Justin K.; Doyle,Brian S.; Kavalleros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Method of forming a metal oxide dielectric.
  109. Ahn, Young Joon; Park, Dong Gun; Lee, Choong Ho; Kang, Hee Soo, Method of forming fin field effect transistor using damascene process.
  110. Brask, Justin K.; Doyle, Brian S.; Kavalieros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Method of forming metal gate electrodes using sacrificial gate electrode material and sacrificial gate dielectric material.
  111. Doyle,Brian S.; Datta,Suman; Kavalieros,Jack T.; Majumdar,Amlan, Method of ion implanting for tri-gate devices.
  112. Zhu, Huilong; Doris, Bruce B.; Wang, Xinlin; Beintner, Jochen; Zhang, Ying; Oldiges, Philip J., Method of making double-gated self-aligned finFET having gates of different lengths.
  113. Yoon, Jae-Man; Oh, Yong-Chul; Kim, Hui-Jung; Chung, Hyun-Woo; Kim, Hyun-Gi; Kim, Kang-Uk, Method of manufacturing a semiconductor device.
  114. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Method of manufacturing a semiconductor device including a gate electrode on a protruding group III-V material layer.
  115. Yeo,Yee Chia; Hu,Chenming, Method of manufacturing an integrated circuit including capacitor with high permittivity capacitor dielectric.
  116. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  117. Lee, Deok Hyung; Lee, Byeong Chan; Choi, Si Young; Kim, Taek Jung; Son, Yong Hoon; Jung, In Soo, Methods for fabricating integrated circuit field effect transistors including channel-containing fin having regions of high and low doping concentrations.
  118. Zhu,Huilong; Doris,Bruce B., Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom.
  119. Zhu, Huilong; Doris, Bruce B., Methods for manufacturing a finfet using a conventional wafer and apparatus manufactured therefrom.
  120. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  121. Park,Tai Su; Yoon,Eui Joon; Chung,U In; Choi,Si Young; Lee,Jong Ho, Methods of fabricating vertical channel field effect transistors having insulating layers thereon.
  122. Zhang,Yuegang; Doyle,Brian S.; Bourianoff,George I., Multi-gate carbon nano-tube transistors.
  123. Kavalieros, Jack T.; Brask, Justin K.; Datta, Suman; Doyle, Brian S.; Chau, Robert S., Multigate device with recessed strain regions.
  124. Rao,Rajesh A.; Mathew,Leo, Multiple fin formation.
  125. Mathew, Leo; Nguyen, Bich-Yen; Pham, Daniel Thanh-Khac; Vandooren, Anne, Multiple gate transistor employing monocrystalline silicon walls.
  126. Chambers,James Joseph, Multiple-gate MOSFET device with lithography independent silicon body thickness.
  127. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Multiple-gate transistors formed on bulk substrates.
  128. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Multiple-gate transistors formed on bulk substrates.
  129. Doyle, Brian S.; Datta, Suman; Jin, Been Yih; Chau, Robert, Non-planar MOS structure with a strained channel region.
  130. Doyle,Brian S.; Datta,Suman; Jin,Been Yih; Chau,Robert, Non-planar MOS structure with a strained channel region.
  131. Brask,Justin K.; Kavalieros,Jack T.; Doyle,Brian S.; Chau,Robert S., Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same.
  132. Doyle,Brian S; Datta,Suman; Jin,Been Yih; Zelick,Nancy M; Chau,Robert, Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow.
  133. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman, Nonplanar device with stress incorporation layer and method of fabrication.
  134. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  135. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Datta,Suman; Jin,Been Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  136. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  137. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  138. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  139. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  140. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  141. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  142. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  143. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  144. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  145. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  146. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  147. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  148. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  149. Brask,Justin K.; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  150. Katsumata, Ryota; Kito, Masaru; Fukuzumi, Yoshiaki; Kidoh, Masaru; Tanaka, Hiroyasu; Komori, Yosuke; Ishiduki, Megumi; Aochi, Hideaki; Kirisawa, Ryouhei; Matsunami, Junya; Fujiwara, Tomoko, Nonvolatile semiconductor memory device and method for manufacturing same.
  151. Cheng,Chung Long; Thei,Kong Beng, Planarizing method for forming FIN-FET device.
  152. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  153. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  154. Anderson, Brent A.; Dennard, Robert H.; Hakey, Mark C.; Nowak, Edward J., Radiation hardened FinFET.
  155. Yu, Chen-Hua; Hsu, Yu-Rung; Yeh, Chen-Nan; Chang, Cheng-Hung, Reducing resistance in source and drain regions of FinFETs.
  156. Yu, Chen-Hua; Hsu, Yu-Rung; Yeh, Chen-Nan; Chang, Cheng-Hung, Reducing resistance in source and drain regions of FinFETs.
  157. Yu, Chen-Hua; Hsu, Yu-Rung; Yeh, Chen-Nan; Chang, Cheng-Hung, Reducing resistance in source and drain regions of FinFETs.
  158. Yeo,Yee Chia; Hu,Chenming, Resistor with reduced leakage.
  159. Ahmed,Shibly S.; Yu,Bin, Sacrificial oxide for minimizing box undercut in damascene FinFET.
  160. Rachmady, Willy; Shah, Uday; Kavalieros, Jack T.; Doyle, Brian S., Selective anisotropic wet etching of workfunction metal for semiconductor devices.
  161. Inaba, Satoshi, Semiconductor device.
  162. Inaba, Satoshi, Semiconductor device.
  163. Inaba, Satoshi, Semiconductor device.
  164. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  165. Yoon, Jae-Man; Oh, Yong-Chul; Kim, Hui-Jung; Chung, Hyun-Woo; Kim, Hyun-Gi; Kim, Kang-Uk, Semiconductor device.
  166. Inaba,Satoshi; Fujiwara,Makoto, Semiconductor device and manufacturing method of the same.
  167. Inaba,Satoshi; Fujiwara,Makoto, Semiconductor device and manufacturing method of the same.
  168. Fumitake, Mieno, Semiconductor device and manufacturing method thereof.
  169. Fumitake, Mieno, Semiconductor device and manufacturing method thereof.
  170. Inaba,Satoshi, Semiconductor device and manufacturing method thereof.
  171. Inaba,Satoshi; Morooka,Tetsu, Semiconductor device and method of fabricating the same.
  172. Nishiyama, Nobuyasu; Yahashi, Katsunori, Semiconductor device and method of fabricating the same.
  173. Kang, Hee Soo; Yoon, Jae Man; Park, Dong Gun; Han, Sang Yeon; Ahn, Young Joon; Lee, Choong Ho, Semiconductor device and method of manufacturing the same.
  174. Kang,Hee Soo; Yoon,Jae Man; Park,Dong Gun; Han,Sang Yeon; Ahn,Young Joon; Lee,Choong Ho, Semiconductor device and method of manufacturing the same.
  175. Tomiye,Kanna; Hokazono,Akira; Ishimaru,Kazunari, Semiconductor device and semiconductor device manufacturing method.
  176. Yang, Chih-Yuh; Ahmed, Shibly S.; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Yu, Bin, Semiconductor device having a gate structure surrounding a fin.
  177. Nishiyama, Nobuyasu; Yahashi, Katsunori, Semiconductor device having a pole-shaped portion and method of fabricating the same.
  178. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer.
  179. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  180. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  181. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  182. Li,Yisuo; Jiang,Xiaohong; Benistant,Francis, Semiconductor device layout and channeling implant process.
  183. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  184. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  185. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  186. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  187. Inaba, Satoshi, Semiconductor device with gate electrode formed on each of three side surfaces of an active layer, and manufacturing method thereof.
  188. Kim, Dong-Woo, Semiconductor devices having fins.
  189. Park, Sang-Jine; Kwon, Kee-Sang; Kim, Do-Hyoung; Yoon, Bo-Un; Bai, Keun-Hee; Yang, Kwang-Yong; Yeo, Kyoung-Hwan; Jeon, Yong-Ho, Semiconductor devices including a dummy gate structure on a fin.
  190. Park, Sang-Jine; Kwon, Kee-Sang; Kim, Do-Hyoung; Yoon, Bo-Un; Bai, Keun-Hee; Yang, Kwang-Yong; Yeo, Kyoung-Hwan; Jeon, Yong-Ho, Semiconductor devices including a dummy gate structure on a fin.
  191. Inaba, Satoshi, Semiconductor memory.
  192. Oh, Yong-Ho, Semiconductor memory device with reduced power consumption.
  193. Chen,Hung Wei; Yeo,Yee Chia; Lee,Di Hong; Yang,Fu Liang; Hu,Chenming, Semiconductor nano-wire devices and methods of fabrication.
  194. Inaba, Satoshi, Semiconductor wafer, semiconductor device and method of fabricating the same.
  195. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  196. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  197. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  198. Yeo,Yee Chia; Chen,How Yu; Huang,Chien Chao; Lee,Wen Chin; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  199. Ko, Chih-Hsin; Ke, Chung-Hu; Huang, Chien-Chao, Shallow trench isolation structure for semiconductor device.
  200. Doyle,Brian S; Rakshit,Titash; Chau,Robert S; Datta,Suman; Brask,Justin K; Shah,Uday, Stacked multi-gate transistor design and method of fabrication.
  201. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  202. Hou, Yung-Chin; Lu, Lee-Chung; Guo, Ta-Pen; Tien, Li-Chun; Li, Ping Chung; Tai, Chun-Hui; Chen, Shu-Min, Standard cell without OD space effect in Y-direction.
  203. Wang, Yen-Sen; Lin, Chung-Te; Cao, Min; Yang, Sheng-Jier, Strain bars in stressed layers of MOS devices.
  204. Ko,Chih Hsin; Yeo,Yee Chia; Lee,Wen Chin; Hu,Chenming, Strained channel complementary field-effect transistors.
  205. Ko,Chih Hsin; Yeo,Yee Chia; Lee,Wen Chin; Hu,Chenming, Strained channel complementary field-effect transistors and methods of manufacture.
  206. Ge,Chung Hu; Wang,Chao Hsiung; Huang,Chien Chao; Lee,Wen Chin; Hu,Chenming, Strained channel on insulator device.
  207. Yeo,Yee Chia; Ko,Chih Hsin; Lee,Wen Chin; Hu,Chenming, Strained channel transistor and methods of manufacture.
  208. Huang, Yi-Chun; Wang, Yen-Ping; Ko, Chih-Hsin, Strained channel transistor formation.
  209. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon finFET device.
  210. Chuang, Harry; Thei, Kong-Beng; Guo, Wen-Huei; Liang, Mong Song, Strained transistor with optimized drive current and method of forming.
  211. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  212. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  213. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  214. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  215. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  216. Yeo,Yee Chia; Yang,Fu Liang, Structure and method for forming the gate electrode in a multiple-gate transistor.
  217. Ko, Chih-Hsin; Lee, Wen-Chin; Yeo, Yee-Chia; Lin, Chun-Chieh; Hu, Chenming, Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit.
  218. Ko,Chih Hsin; Lee,Wen Chin; Yeo,Yee Chia; Lin,Chun Chieh; Hu,Chenming, Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit.
  219. Zhu,Huilong; Doris,Bruce B.; Wang,Xinlin; Beintner,Jochen; Zhang,Ying; Oldiges,Philip J., Structure and method of making double-gated self-aligned finFET having gates of different lengths.
  220. Zhu,Huilong; Doris,Bruce B., Structure and method of manufacturing a finFET device having stacked fins.
  221. Haensch, Wilfried Ernst-August; Kulkarni, Pranita; Yamashita, Tenko, Structure and method to fabricate resistor on finFET processes.
  222. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman, Substrate band gap engineered multi-gate pMOS devices.
  223. Wang,Haihong; Ahmed,Shibly S.; Lin,Ming Ren; Yu,Bin, Systems and methods for forming multiple fin structures using metal-induced-crystallization.
  224. Yoon, Jae Man; Lee, Choong Ho; Park, Dong Gun; Lee, Chul, Transistor and method of forming the same.
  225. An,Judy Xilin; Wang,Haihong; Yu,Bin, Tri-gate and gate around MOSFET devices and methods for making same.
  226. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman, Tri-gate devices and methods of fabrication.
  227. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  228. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  229. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  230. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  231. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman; Hareland,Scott A., Tri-gate devices and methods of fabrication.
  232. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman; Hareland,Scott A., Tri-gate devices and methods of fabrication.
  233. Ban,Ibrahim; Chang,Peter L. D., Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate.
  234. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  235. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  236. Chau,Robert; Datta,Suman; Doyle,Brian S; Jin,Been Yih, Tri-gate transistors and methods to fabricate same.
  237. Shaheen, Mohamad A.; Rachmady, Willy; Tolchinsky, Peter, Ultra-thin oxide bonding for S1 to S1 dual orientation bonding.
  238. Metz,Matthew V.; Datta,Suman; Doczy,Mark L.; Kavalieros,Jack T.; Brask,Justin K.; Chau,Robert S., Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors.
  239. Park,Tai su; Yoon,Eui Joon; Chung,U In; Choi,Si Young; Lee,Jong Ho, Vertical channel field effect transistors having insulating layers thereon.
  240. Oh, Chang-Woo; Park, Dong-Gun; Lee, Sung-Young; Choe, Jeong-Dong; Kim, Dong-Won, Vertical channel fin field-effect transistors having increased source/drain contact area and methods for fabricating the same.
  241. Lojek, Bohumil, Vertical gate CMOS with lithography-independent gate length.
  242. Kim,Ji Young, Vertical transistor structure for use in semiconductor device and method of forming the same.
  243. Bonart,Dietrich; Enders,Gerhard; Voigt,Peter, Vertical transistor, and a method for producing a vertical transistor.
  244. Kim, Keun-Nam; Yang, Hung-Mo; Lee, Choong-Ho, fin FET and method of fabricating same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로